blub
authorManfred <xzarion@l0725898.(none)>
Tue, 11 Jan 2011 12:32:26 +0000 (13:32 +0100)
committerManfred <xzarion@l0725898.(none)>
Tue, 11 Jan 2011 12:32:49 +0000 (13:32 +0100)
cpu/src/rs232_rx_arc.vhd

index 0a9df83efd043ea824540ad389a46121539673e5..30d0e5b18db980cf837024c50b631f5386d3867b 100755 (executable)
@@ -100,7 +100,7 @@ begin
                                -- hier wird nur noch auf das Stopbit abgewartet und gelesen um den
                                -- Lesevorgang koerrekt zu beenden
                                if baud_cnt = bd_rate and bus_rx = '1' then
                                -- hier wird nur noch auf das Stopbit abgewartet und gelesen um den
                                -- Lesevorgang koerrekt zu beenden
                                if baud_cnt = bd_rate and bus_rx = '1' then
-                                       state_next <= POST_STOP;
+                                       state_next <= IDLE;
                                elsif baud_cnt = bd_rate and bus_rx = '0' then
                                        state_next <= IDLE;
                                end if;
                                elsif baud_cnt = bd_rate and bus_rx = '0' then
                                        state_next <= IDLE;
                                end if;
@@ -124,6 +124,7 @@ begin
                -- Statewechesel wie obenbeschrieben
                case state is
                        when IDLE =>
                -- Statewechesel wie obenbeschrieben
                case state is
                        when IDLE =>
+                               cnt_next <= 0;
                                baud_cnt_next <= (others =>'0'); --0;
                        when READ_START =>
                                -- baut_cnt zyklenweise erhoehen bis es einer halben Bitzeit entspricht
                                baud_cnt_next <= (others =>'0'); --0;
                        when READ_START =>
                                -- baut_cnt zyklenweise erhoehen bis es einer halben Bitzeit entspricht
@@ -152,6 +153,8 @@ begin
                                baud_cnt_next <= std_logic_vector(unsigned(baud_cnt) + 1);
                                if baud_cnt = bd_rate then 
                                        baud_cnt_next <= (others => '0');
                                baud_cnt_next <= std_logic_vector(unsigned(baud_cnt) + 1);
                                if baud_cnt = bd_rate then 
                                        baud_cnt_next <= (others => '0');
+                                       new_rx_data_nxt <= '1';
+                                       rx_data_res_nxt <= rx_data_int;
                                end if;
                        when POST_STOP =>
                                --halbe bitzeit wartenr auf counter warten
                                end if;
                        when POST_STOP =>
                                --halbe bitzeit wartenr auf counter warten