uart und extension anbindung
[calu.git] / dt / dt.tan.rpt
index 986275b037a3d29ce7b0254d6319e928d1844fdd..600277b81fa543fe8b0f887ff48ba221e4ed6f03 100644 (file)
@@ -1,5 +1,5 @@
 Classic Timing Analyzer report for dt
-Fri Dec 17 12:27:19 2010
+Sun Dec 19 20:36:51 2010
 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
 
 
@@ -45,17 +45,17 @@ applicable agreement for further details.
 Classic Timing Analyzer will not be available in a future release of the Quartus II software. Use the TimeQuest Timing Analyzer to run timing analysis on your design. Convert all the project settings and the timing constraints to TimeQuest Timing Analyzer equivalents.
 
 
-+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Timing Analyzer Summary                                                                                                                                                                                                                                                                                                              ;
-+------------------------------+-------+---------------+----------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+--------------+
-; Type                         ; Slack ; Required Time ; Actual Time                      ; From                                                                                                                             ; To                                                               ; From Clock ; To Clock ; Failed Paths ;
-+------------------------------+-------+---------------+----------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+--------------+
-; Worst-case tsu               ; N/A   ; None          ; 18.965 ns                        ; sys_res                                                                                                                          ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27]        ; --         ; sys_clk  ; 0            ;
-; Worst-case tco               ; N/A   ; None          ; 10.165 ns                        ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int                                               ; bus_tx                                                           ; sys_clk    ; --       ; 0            ;
-; Worst-case th                ; N/A   ; None          ; -8.849 ns                        ; sys_res                                                                                                                          ; fetch_stage:fetch_st|instr_r_addr[4]                             ; --         ; sys_clk  ; 0            ;
-; Clock Setup: 'sys_clk'       ; N/A   ; None          ; 46.34 MHz ( period = 21.578 ns ) ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; 0            ;
-; Total number of failed paths ;       ;               ;                                  ;                                                                                                                                  ;                                                                  ;            ;          ; 0            ;
-+------------------------------+-------+---------------+----------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+--------------+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Timing Analyzer Summary                                                                                                                                                                                                                                                                               ;
++------------------------------+-------+---------------+----------------------------------+------------------------------------------------------------------------------------+---------------------------------------------------------------------------------+------------+----------+--------------+
+; Type                         ; Slack ; Required Time ; Actual Time                      ; From                                                                               ; To                                                                              ; From Clock ; To Clock ; Failed Paths ;
++------------------------------+-------+---------------+----------------------------------+------------------------------------------------------------------------------------+---------------------------------------------------------------------------------+------------+----------+--------------+
+; Worst-case tsu               ; N/A   ; None          ; 18.145 ns                        ; sys_res                                                                            ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                   ; --         ; sys_clk  ; 0            ;
+; Worst-case tco               ; N/A   ; None          ; 10.677 ns                        ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int ; bus_tx                                                                          ; sys_clk    ; --       ; 0            ;
+; Worst-case th                ; N/A   ; None          ; -5.628 ns                        ; bus_rx                                                                             ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|sync[1] ; --         ; sys_clk  ; 0            ;
+; Clock Setup: 'sys_clk'       ; N/A   ; None          ; 36.95 MHz ( period = 27.067 ns ) ; writeback_stage:writeback_st|wb_reg.dmem_en                                        ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                   ; sys_clk    ; sys_clk  ; 0            ;
+; Total number of failed paths ;       ;               ;                                  ;                                                                                    ;                                                                                 ;            ;          ; 0            ;
++------------------------------+-------+---------------+----------------------------------+------------------------------------------------------------------------------------+---------------------------------------------------------------------------------+------------+----------+--------------+
 
 
 +-----------------------------------------------------------------------------------------------------------------------------------------------------+
@@ -109,426 +109,514 @@ Parallel compilation was disabled, but you have multiple processors available. E
 +----------------------------+--------+
 
 
-+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
-; Clock Setup: 'sys_clk'                                                                                                                                                                                                                                                                                                                                                                                          ;
-+-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
-; Slack                                   ; Actual fmax (period)                                ; From                                                                                                                             ; To                                                               ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ;
-+-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.34 MHz ( period = 21.578 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.884 ns               ;
-; N/A                                     ; 46.56 MHz ( period = 21.477 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.783 ns               ;
-; N/A                                     ; 46.56 MHz ( period = 21.477 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.783 ns               ;
-; N/A                                     ; 46.56 MHz ( period = 21.477 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.783 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.89 MHz ( period = 21.327 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.633 ns               ;
-; N/A                                     ; 46.97 MHz ( period = 21.291 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 21.030 ns               ;
-; N/A                                     ; 46.97 MHz ( period = 21.291 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 21.030 ns               ;
-; N/A                                     ; 46.97 MHz ( period = 21.291 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 21.030 ns               ;
-; N/A                                     ; 46.97 MHz ( period = 21.291 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 21.030 ns               ;
-; N/A                                     ; 46.97 MHz ( period = 21.291 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 21.030 ns               ;
-; N/A                                     ; 46.97 MHz ( period = 21.291 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 21.030 ns               ;
-; N/A                                     ; 46.97 MHz ( period = 21.291 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 21.030 ns               ;
-; N/A                                     ; 47.00 MHz ( period = 21.277 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.583 ns               ;
-; N/A                                     ; 47.00 MHz ( period = 21.277 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.583 ns               ;
-; N/A                                     ; 47.00 MHz ( period = 21.277 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.583 ns               ;
-; N/A                                     ; 47.11 MHz ( period = 21.226 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.532 ns               ;
-; N/A                                     ; 47.11 MHz ( period = 21.226 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.532 ns               ;
-; N/A                                     ; 47.11 MHz ( period = 21.226 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.532 ns               ;
-; N/A                                     ; 47.19 MHz ( period = 21.190 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.929 ns               ;
-; N/A                                     ; 47.56 MHz ( period = 21.026 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.332 ns               ;
-; N/A                                     ; 47.56 MHz ( period = 21.026 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.332 ns               ;
-; N/A                                     ; 47.56 MHz ( period = 21.026 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.332 ns               ;
-; N/A                                     ; 47.58 MHz ( period = 21.019 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.758 ns               ;
-; N/A                                     ; 47.58 MHz ( period = 21.019 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.758 ns               ;
-; N/A                                     ; 47.58 MHz ( period = 21.019 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.758 ns               ;
-; N/A                                     ; 47.58 MHz ( period = 21.019 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.758 ns               ;
-; N/A                                     ; 47.58 MHz ( period = 21.019 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.758 ns               ;
-; N/A                                     ; 47.58 MHz ( period = 21.019 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.758 ns               ;
-; N/A                                     ; 47.58 MHz ( period = 21.019 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.758 ns               ;
-; N/A                                     ; 47.64 MHz ( period = 20.990 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.729 ns               ;
-; N/A                                     ; 47.81 MHz ( period = 20.918 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.657 ns               ;
-; N/A                                     ; 48.27 MHz ( period = 20.718 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 20.457 ns               ;
-; N/A                                     ; 48.45 MHz ( period = 20.640 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[17]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.955 ns               ;
-; N/A                                     ; 48.45 MHz ( period = 20.640 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[17]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.955 ns               ;
-; N/A                                     ; 48.45 MHz ( period = 20.640 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[17]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.955 ns               ;
-; N/A                                     ; 49.32 MHz ( period = 20.277 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[19]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.583 ns               ;
-; N/A                                     ; 49.32 MHz ( period = 20.277 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[19]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.583 ns               ;
-; N/A                                     ; 49.32 MHz ( period = 20.277 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[19]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.583 ns               ;
-; N/A                                     ; 49.37 MHz ( period = 20.256 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.562 ns               ;
-; N/A                                     ; 49.37 MHz ( period = 20.256 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.562 ns               ;
-; N/A                                     ; 49.37 MHz ( period = 20.256 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.562 ns               ;
-; N/A                                     ; 49.37 MHz ( period = 20.255 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]        ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.561 ns               ;
-; N/A                                     ; 49.37 MHz ( period = 20.255 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]        ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.561 ns               ;
-; N/A                                     ; 49.37 MHz ( period = 20.255 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]        ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.561 ns               ;
-; N/A                                     ; 49.39 MHz ( period = 20.249 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.555 ns               ;
-; N/A                                     ; 49.39 MHz ( period = 20.249 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.555 ns               ;
-; N/A                                     ; 49.39 MHz ( period = 20.249 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.555 ns               ;
-; N/A                                     ; 49.40 MHz ( period = 20.242 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.481 ns               ;
-; N/A                                     ; 49.40 MHz ( period = 20.242 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.481 ns               ;
-; N/A                                     ; 49.40 MHz ( period = 20.242 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.481 ns               ;
-; N/A                                     ; 49.46 MHz ( period = 20.220 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.959 ns               ;
-; N/A                                     ; 49.46 MHz ( period = 20.219 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]        ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.958 ns               ;
-; N/A                                     ; 49.47 MHz ( period = 20.213 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.952 ns               ;
-; N/A                                     ; 49.48 MHz ( period = 20.211 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; execute_stage:exec_st|reg.result[17]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.526 ns               ;
-; N/A                                     ; 49.48 MHz ( period = 20.211 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; execute_stage:exec_st|reg.result[17]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.526 ns               ;
-; N/A                                     ; 49.48 MHz ( period = 20.211 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; execute_stage:exec_st|reg.result[17]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.526 ns               ;
-; N/A                                     ; 49.49 MHz ( period = 20.206 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.878 ns               ;
-; N/A                                     ; 49.60 MHz ( period = 20.163 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.469 ns               ;
-; N/A                                     ; 49.60 MHz ( period = 20.163 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.469 ns               ;
-; N/A                                     ; 49.60 MHz ( period = 20.163 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.469 ns               ;
-; N/A                                     ; 49.60 MHz ( period = 20.162 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]        ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.468 ns               ;
-; N/A                                     ; 49.60 MHz ( period = 20.162 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]        ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.468 ns               ;
-; N/A                                     ; 49.60 MHz ( period = 20.162 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]        ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.468 ns               ;
-; N/A                                     ; 49.61 MHz ( period = 20.156 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.462 ns               ;
-; N/A                                     ; 49.61 MHz ( period = 20.156 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.462 ns               ;
-; N/A                                     ; 49.61 MHz ( period = 20.156 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.462 ns               ;
-; N/A                                     ; 49.63 MHz ( period = 20.149 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.388 ns               ;
-; N/A                                     ; 49.63 MHz ( period = 20.149 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.388 ns               ;
-; N/A                                     ; 49.63 MHz ( period = 20.149 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.388 ns               ;
-; N/A                                     ; 49.64 MHz ( period = 20.146 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.452 ns               ;
-; N/A                                     ; 49.64 MHz ( period = 20.146 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.452 ns               ;
-; N/A                                     ; 49.64 MHz ( period = 20.146 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.452 ns               ;
-; N/A                                     ; 49.71 MHz ( period = 20.118 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; execute_stage:exec_st|reg.result[17]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.866 ns               ;
-; N/A                                     ; 49.92 MHz ( period = 20.032 ns )                    ; execute_stage:exec_st|reg.alu_jump                                                                                               ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.771 ns               ;
-; N/A                                     ; 49.92 MHz ( period = 20.032 ns )                    ; execute_stage:exec_st|reg.alu_jump                                                                                               ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.771 ns               ;
-; N/A                                     ; 49.92 MHz ( period = 20.032 ns )                    ; execute_stage:exec_st|reg.alu_jump                                                                                               ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.771 ns               ;
-; N/A                                     ; 49.92 MHz ( period = 20.032 ns )                    ; execute_stage:exec_st|reg.alu_jump                                                                                               ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.771 ns               ;
-; N/A                                     ; 49.92 MHz ( period = 20.032 ns )                    ; execute_stage:exec_st|reg.alu_jump                                                                                               ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.771 ns               ;
-; N/A                                     ; 49.92 MHz ( period = 20.032 ns )                    ; execute_stage:exec_st|reg.alu_jump                                                                                               ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.771 ns               ;
-; N/A                                     ; 49.92 MHz ( period = 20.032 ns )                    ; execute_stage:exec_st|reg.alu_jump                                                                                               ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.771 ns               ;
-; N/A                                     ; 49.95 MHz ( period = 20.019 ns )                    ; decode_stage:decode_st|rtw_rec.rtw_reg2                                                                                          ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.758 ns               ;
-; N/A                                     ; 49.95 MHz ( period = 20.019 ns )                    ; decode_stage:decode_st|rtw_rec.rtw_reg2                                                                                          ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.758 ns               ;
-; N/A                                     ; 49.95 MHz ( period = 20.019 ns )                    ; decode_stage:decode_st|rtw_rec.rtw_reg2                                                                                          ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.758 ns               ;
-; N/A                                     ; 49.95 MHz ( period = 20.019 ns )                    ; decode_stage:decode_st|rtw_rec.rtw_reg2                                                                                          ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.758 ns               ;
-; N/A                                     ; 49.95 MHz ( period = 20.019 ns )                    ; decode_stage:decode_st|rtw_rec.rtw_reg2                                                                                          ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.758 ns               ;
-; N/A                                     ; 49.95 MHz ( period = 20.019 ns )                    ; decode_stage:decode_st|rtw_rec.rtw_reg2                                                                                          ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.758 ns               ;
-; N/A                                     ; 49.95 MHz ( period = 20.019 ns )                    ; decode_stage:decode_st|rtw_rec.rtw_reg2                                                                                          ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.758 ns               ;
-; N/A                                     ; 50.14 MHz ( period = 19.943 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; execute_stage:exec_st|reg.result[17]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.691 ns               ;
-; N/A                                     ; 50.17 MHz ( period = 19.931 ns )                    ; execute_stage:exec_st|reg.alu_jump                                                                                               ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.670 ns               ;
-; N/A                                     ; 50.21 MHz ( period = 19.918 ns )                    ; decode_stage:decode_st|rtw_rec.rtw_reg2                                                                                          ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.657 ns               ;
-; N/A                                     ; 50.22 MHz ( period = 19.911 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[12]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.150 ns               ;
-; N/A                                     ; 50.22 MHz ( period = 19.911 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[12]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.150 ns               ;
-; N/A                                     ; 50.22 MHz ( period = 19.911 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[12]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.150 ns               ;
-; N/A                                     ; 50.26 MHz ( period = 19.895 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.201 ns               ;
-; N/A                                     ; 50.26 MHz ( period = 19.895 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.201 ns               ;
-; N/A                                     ; 50.26 MHz ( period = 19.895 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.201 ns               ;
-; N/A                                     ; 50.28 MHz ( period = 19.888 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.627 ns               ;
-; N/A                                     ; 50.28 MHz ( period = 19.887 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]        ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.626 ns               ;
-; N/A                                     ; 50.30 MHz ( period = 19.881 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.620 ns               ;
-; N/A                                     ; 50.32 MHz ( period = 19.874 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.546 ns               ;
-; N/A                                     ; 50.32 MHz ( period = 19.871 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr1[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.601 ns               ;
-; N/A                                     ; 50.32 MHz ( period = 19.871 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr1[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.601 ns               ;
-; N/A                                     ; 50.32 MHz ( period = 19.871 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr1[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.601 ns               ;
-; N/A                                     ; 50.32 MHz ( period = 19.871 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr1[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.601 ns               ;
-; N/A                                     ; 50.32 MHz ( period = 19.871 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr1[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.601 ns               ;
-; N/A                                     ; 50.32 MHz ( period = 19.871 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr1[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.601 ns               ;
-; N/A                                     ; 50.32 MHz ( period = 19.871 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr1[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.601 ns               ;
-; N/A                                     ; 50.36 MHz ( period = 19.859 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.598 ns               ;
-; N/A                                     ; 50.48 MHz ( period = 19.808 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.114 ns               ;
-; N/A                                     ; 50.48 MHz ( period = 19.808 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.114 ns               ;
-; N/A                                     ; 50.48 MHz ( period = 19.808 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.114 ns               ;
-; N/A                                     ; 50.58 MHz ( period = 19.772 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.511 ns               ;
-; N/A                                     ; 50.58 MHz ( period = 19.770 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr1[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.500 ns               ;
-; N/A                                     ; 50.63 MHz ( period = 19.753 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.059 ns               ;
-; N/A                                     ; 50.63 MHz ( period = 19.753 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.059 ns               ;
-; N/A                                     ; 50.63 MHz ( period = 19.753 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.059 ns               ;
-; N/A                                     ; 50.68 MHz ( period = 19.731 ns )                    ; execute_stage:exec_st|reg.alu_jump                                                                                               ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.470 ns               ;
-; N/A                                     ; 50.69 MHz ( period = 19.729 ns )                    ; execute_stage:exec_st|reg.wr_en                                                                                                  ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.468 ns               ;
-; N/A                                     ; 50.69 MHz ( period = 19.729 ns )                    ; execute_stage:exec_st|reg.wr_en                                                                                                  ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.468 ns               ;
-; N/A                                     ; 50.69 MHz ( period = 19.729 ns )                    ; execute_stage:exec_st|reg.wr_en                                                                                                  ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.468 ns               ;
-; N/A                                     ; 50.69 MHz ( period = 19.729 ns )                    ; execute_stage:exec_st|reg.wr_en                                                                                                  ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.468 ns               ;
-; N/A                                     ; 50.69 MHz ( period = 19.729 ns )                    ; execute_stage:exec_st|reg.wr_en                                                                                                  ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.468 ns               ;
-; N/A                                     ; 50.69 MHz ( period = 19.729 ns )                    ; execute_stage:exec_st|reg.wr_en                                                                                                  ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.468 ns               ;
-; N/A                                     ; 50.69 MHz ( period = 19.729 ns )                    ; execute_stage:exec_st|reg.wr_en                                                                                                  ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.468 ns               ;
-; N/A                                     ; 50.72 MHz ( period = 19.718 ns )                    ; decode_stage:decode_st|rtw_rec.rtw_reg2                                                                                          ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.457 ns               ;
-; N/A                                     ; 50.72 MHz ( period = 19.717 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.456 ns               ;
-; N/A                                     ; 50.72 MHz ( period = 19.715 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.021 ns               ;
-; N/A                                     ; 50.72 MHz ( period = 19.715 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.021 ns               ;
-; N/A                                     ; 50.72 MHz ( period = 19.715 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.021 ns               ;
-; N/A                                     ; 50.73 MHz ( period = 19.714 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr2[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.453 ns               ;
-; N/A                                     ; 50.73 MHz ( period = 19.714 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr2[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.453 ns               ;
-; N/A                                     ; 50.73 MHz ( period = 19.714 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr2[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.453 ns               ;
-; N/A                                     ; 50.73 MHz ( period = 19.714 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr2[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.453 ns               ;
-; N/A                                     ; 50.73 MHz ( period = 19.714 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr2[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.453 ns               ;
-; N/A                                     ; 50.73 MHz ( period = 19.714 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr2[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.453 ns               ;
-; N/A                                     ; 50.73 MHz ( period = 19.714 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr2[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.453 ns               ;
-; N/A                                     ; 50.78 MHz ( period = 19.691 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; execute_stage:exec_st|reg.result[12]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.363 ns               ;
-; N/A                                     ; 50.86 MHz ( period = 19.660 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 18.966 ns               ;
-; N/A                                     ; 50.86 MHz ( period = 19.660 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg1 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 18.966 ns               ;
-; N/A                                     ; 50.86 MHz ( period = 19.660 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg2 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[16]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 18.966 ns               ;
-; N/A                                     ; 50.87 MHz ( period = 19.658 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg0 ; execute_stage:exec_st|reg.result[10]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 18.964 ns               ;
-; N/A                                     ; 50.87 MHz ( period = 19.658 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[10]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 18.964 ns               ;
-; N/A                                     ; 50.87 MHz ( period = 19.658 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg2 ; execute_stage:exec_st|reg.result[10]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 18.964 ns               ;
-; N/A                                     ; 50.90 MHz ( period = 19.648 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; execute_stage:exec_st|reg.result[19]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.387 ns               ;
-; N/A                                     ; 50.95 MHz ( period = 19.628 ns )                    ; execute_stage:exec_st|reg.wr_en                                                                                                  ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.367 ns               ;
-; N/A                                     ; 50.97 MHz ( period = 19.621 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                            ; execute_stage:exec_st|reg.result[10]                             ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.360 ns               ;
-; N/A                                     ; 50.99 MHz ( period = 19.613 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr2[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.352 ns               ;
-; N/A                                     ; 51.05 MHz ( period = 19.587 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data     ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.326 ns               ;
-; N/A                                     ; 51.10 MHz ( period = 19.570 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr1[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.300 ns               ;
-; N/A                                     ; 51.39 MHz ( period = 19.460 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                                                                ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.199 ns               ;
-; N/A                                     ; 51.39 MHz ( period = 19.460 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                                                                ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.199 ns               ;
-; N/A                                     ; 51.39 MHz ( period = 19.460 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                                                                ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.199 ns               ;
-; N/A                                     ; 51.39 MHz ( period = 19.460 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                                                                ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.199 ns               ;
-; N/A                                     ; 51.39 MHz ( period = 19.460 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                                                                ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.199 ns               ;
-; N/A                                     ; 51.39 MHz ( period = 19.460 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                                                                ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.199 ns               ;
-; N/A                                     ; 51.39 MHz ( period = 19.460 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                                                                ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.199 ns               ;
-; N/A                                     ; 51.44 MHz ( period = 19.440 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                      ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21]       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.179 ns               ;
-; N/A                                     ; 51.47 MHz ( period = 19.428 ns )                    ; execute_stage:exec_st|reg.wr_en                                                                                                  ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.167 ns               ;
-; N/A                                     ; 51.51 MHz ( period = 19.413 ns )                    ; decode_stage:decode_st|dec_op_inst.saddr2[2]                                                                                     ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk    ; sys_clk  ; None                        ; None                      ; 19.152 ns               ;
-; N/A                                     ; 51.55 MHz ( period = 19.400 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ram_block1a0~portb_address_reg1 ; execute_stage:exec_st|reg.result[7]                              ; sys_clk    ; sys_clk  ; None                        ; None                      ; 18.639 ns               ;
-; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;                                                                                                                                  ;                                                                  ;            ;          ;                             ;                           ;                         ;
-+-----------------------------------------+-----------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Clock Setup: 'sys_clk'                                                                                                                                                                                                                                                                                                                                                                                                                                                               ;
++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
+; Slack                                   ; Actual fmax (period)                                ; From                                                                                                                                ; To                                                                                                                                 ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ;
++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
+; N/A                                     ; 36.95 MHz ( period = 27.067 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 26.747 ns               ;
+; N/A                                     ; 38.35 MHz ( period = 26.075 ns )                    ; writeback_stage:writeback_st|wb_reg.address[3]                                                                                      ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 25.790 ns               ;
+; N/A                                     ; 39.35 MHz ( period = 25.412 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 25.151 ns               ;
+; N/A                                     ; 39.69 MHz ( period = 25.193 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[15]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.873 ns               ;
+; N/A                                     ; 39.79 MHz ( period = 25.134 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[9]                                                                                                ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.805 ns               ;
+; N/A                                     ; 39.86 MHz ( period = 25.086 ns )                    ; writeback_stage:writeback_st|wb_reg.address[2]                                                                                      ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.801 ns               ;
+; N/A                                     ; 39.87 MHz ( period = 25.079 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.759 ns               ;
+; N/A                                     ; 39.91 MHz ( period = 25.059 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.798 ns               ;
+; N/A                                     ; 40.12 MHz ( period = 24.928 ns )                    ; writeback_stage:writeback_st|wb_reg.address[12]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.667 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg0   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg1   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg2   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg3   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg4   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg5   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg6   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg7   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg8   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg9   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.16 MHz ( period = 24.899 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg10  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.205 ns               ;
+; N/A                                     ; 40.19 MHz ( period = 24.883 ns )                    ; writeback_stage:writeback_st|wb_reg.address[17]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.622 ns               ;
+; N/A                                     ; 40.19 MHz ( period = 24.882 ns )                    ; writeback_stage:writeback_st|wb_reg.address[20]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.621 ns               ;
+; N/A                                     ; 40.19 MHz ( period = 24.881 ns )                    ; writeback_stage:writeback_st|wb_reg.address[28]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.620 ns               ;
+; N/A                                     ; 40.22 MHz ( period = 24.866 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.605 ns               ;
+; N/A                                     ; 40.37 MHz ( period = 24.770 ns )                    ; writeback_stage:writeback_st|wb_reg.address[22]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.509 ns               ;
+; N/A                                     ; 40.40 MHz ( period = 24.750 ns )                    ; writeback_stage:writeback_st|wb_reg.address[16]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.489 ns               ;
+; N/A                                     ; 40.46 MHz ( period = 24.718 ns )                    ; writeback_stage:writeback_st|wb_reg.address[29]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.457 ns               ;
+; N/A                                     ; 40.48 MHz ( period = 24.704 ns )                    ; writeback_stage:writeback_st|wb_reg.address[26]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.443 ns               ;
+; N/A                                     ; 40.49 MHz ( period = 24.697 ns )                    ; writeback_stage:writeback_st|wb_reg.address[15]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.436 ns               ;
+; N/A                                     ; 40.53 MHz ( period = 24.674 ns )                    ; writeback_stage:writeback_st|wb_reg.address[19]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.413 ns               ;
+; N/A                                     ; 40.65 MHz ( period = 24.598 ns )                    ; writeback_stage:writeback_st|wb_reg.address[30]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.337 ns               ;
+; N/A                                     ; 40.67 MHz ( period = 24.590 ns )                    ; execute_stage:exec_st|reg.res_addr[2]                                                                                               ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.329 ns               ;
+; N/A                                     ; 40.77 MHz ( period = 24.528 ns )                    ; writeback_stage:writeback_st|wb_reg.address[27]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.267 ns               ;
+; N/A                                     ; 40.80 MHz ( period = 24.509 ns )                    ; writeback_stage:writeback_st|wb_reg.address[14]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.248 ns               ;
+; N/A                                     ; 40.82 MHz ( period = 24.497 ns )                    ; writeback_stage:writeback_st|wb_reg.address[5]                                                                                      ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.245 ns               ;
+; N/A                                     ; 40.95 MHz ( period = 24.422 ns )                    ; writeback_stage:writeback_st|wb_reg.address[25]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.161 ns               ;
+; N/A                                     ; 40.96 MHz ( period = 24.417 ns )                    ; writeback_stage:writeback_st|wb_reg.address[6]                                                                                      ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.156 ns               ;
+; N/A                                     ; 40.96 MHz ( period = 24.413 ns )                    ; writeback_stage:writeback_st|wb_reg.address[31]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 24.152 ns               ;
+; N/A                                     ; 41.26 MHz ( period = 24.235 ns )                    ; writeback_stage:writeback_st|wb_reg.address[8]                                                                                      ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.974 ns               ;
+; N/A                                     ; 41.29 MHz ( period = 24.220 ns )                    ; writeback_stage:writeback_st|wb_reg.address[24]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.959 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.201 ns )                    ; writeback_stage:writeback_st|wb_reg.address[3]                                                                                      ; execute_stage:exec_st|reg.result[15]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.916 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg0   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg1   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg2   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg3   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg4   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg5   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg6   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg7   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg8   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg9   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.32 MHz ( period = 24.200 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg10  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.515 ns               ;
+; N/A                                     ; 41.42 MHz ( period = 24.142 ns )                    ; writeback_stage:writeback_st|wb_reg.address[3]                                                                                      ; execute_stage:exec_st|reg.result[9]                                                                                                ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.848 ns               ;
+; N/A                                     ; 41.52 MHz ( period = 24.087 ns )                    ; writeback_stage:writeback_st|wb_reg.address[3]                                                                                      ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.802 ns               ;
+; N/A                                     ; 41.60 MHz ( period = 24.039 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[19]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.743 ns               ;
+; N/A                                     ; 41.68 MHz ( period = 23.990 ns )                    ; writeback_stage:writeback_st|wb_reg.address[4]                                                                                      ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.729 ns               ;
+; N/A                                     ; 41.69 MHz ( period = 23.987 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_2|altsyncram_emk1:auto_generated|ram_block1a6~portb_address_reg0    ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.293 ns               ;
+; N/A                                     ; 41.69 MHz ( period = 23.987 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_2|altsyncram_emk1:auto_generated|ram_block1a6~portb_address_reg1    ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.293 ns               ;
+; N/A                                     ; 41.69 MHz ( period = 23.987 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_2|altsyncram_emk1:auto_generated|ram_block1a6~portb_address_reg2    ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.293 ns               ;
+; N/A                                     ; 41.69 MHz ( period = 23.987 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_2|altsyncram_emk1:auto_generated|ram_block1a6~portb_address_reg3    ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.293 ns               ;
+; N/A                                     ; 41.78 MHz ( period = 23.935 ns )                    ; writeback_stage:writeback_st|wb_reg.address[13]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.674 ns               ;
+; N/A                                     ; 41.81 MHz ( period = 23.916 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[20]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.579 ns               ;
+; N/A                                     ; 41.85 MHz ( period = 23.896 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.514 ns               ;
+; N/A                                     ; 41.85 MHz ( period = 23.894 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[13]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.633 ns               ;
+; N/A                                     ; 41.86 MHz ( period = 23.890 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.508 ns               ;
+; N/A                                     ; 41.90 MHz ( period = 23.867 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.552 ns               ;
+; N/A                                     ; 41.92 MHz ( period = 23.854 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~porta_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.548 ns               ;
+; N/A                                     ; 41.93 MHz ( period = 23.847 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.461 ns               ;
+; N/A                                     ; 41.93 MHz ( period = 23.847 ns )                    ; writeback_stage:writeback_st|wb_reg.address[10]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.586 ns               ;
+; N/A                                     ; 41.94 MHz ( period = 23.841 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.455 ns               ;
+; N/A                                     ; 41.95 MHz ( period = 23.839 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[10]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.510 ns               ;
+; N/A                                     ; 41.99 MHz ( period = 23.818 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.499 ns               ;
+; N/A                                     ; 42.01 MHz ( period = 23.805 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.495 ns               ;
+; N/A                                     ; 42.02 MHz ( period = 23.801 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a9~portb_address_reg0    ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.107 ns               ;
+; N/A                                     ; 42.02 MHz ( period = 23.801 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a9~portb_address_reg1    ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.107 ns               ;
+; N/A                                     ; 42.02 MHz ( period = 23.801 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a9~portb_address_reg2    ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.107 ns               ;
+; N/A                                     ; 42.02 MHz ( period = 23.801 ns )                    ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a9~portb_address_reg3    ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.107 ns               ;
+; N/A                                     ; 42.06 MHz ( period = 23.773 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~porta_address_reg0  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.467 ns               ;
+; N/A                                     ; 42.07 MHz ( period = 23.771 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[18]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.475 ns               ;
+; N/A                                     ; 42.07 MHz ( period = 23.771 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a7~porta_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.456 ns               ;
+; N/A                                     ; 42.10 MHz ( period = 23.755 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.494 ns               ;
+; N/A                                     ; 42.11 MHz ( period = 23.748 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; execute_stage:exec_st|reg.result[15]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.487 ns               ;
+; N/A                                     ; 42.15 MHz ( period = 23.722 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a7~portb_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.403 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg0  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg1  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg2  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg3  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg4  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg5  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg6  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg7  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg8  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg9  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.17 MHz ( period = 23.715 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~portb_address_reg10 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.19 MHz ( period = 23.701 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[11]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.372 ns               ;
+; N/A                                     ; 42.31 MHz ( period = 23.634 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.373 ns               ;
+; N/A                                     ; 42.33 MHz ( period = 23.624 ns )                    ; writeback_stage:writeback_st|wb_reg.address[12]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.363 ns               ;
+; N/A                                     ; 42.36 MHz ( period = 23.609 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[27]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.289 ns               ;
+; N/A                                     ; 42.41 MHz ( period = 23.579 ns )                    ; writeback_stage:writeback_st|wb_reg.address[17]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.318 ns               ;
+; N/A                                     ; 42.41 MHz ( period = 23.578 ns )                    ; writeback_stage:writeback_st|wb_reg.address[20]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.317 ns               ;
+; N/A                                     ; 42.41 MHz ( period = 23.577 ns )                    ; writeback_stage:writeback_st|wb_reg.address[28]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.316 ns               ;
+; N/A                                     ; 42.47 MHz ( period = 23.545 ns )                    ; writeback_stage:writeback_st|wb_reg.address[9]                                                                                      ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.284 ns               ;
+; N/A                                     ; 42.54 MHz ( period = 23.508 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a6~porta_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.261 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg0  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg1  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg2  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg3  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg4  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg5  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg6  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg7  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg8  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg9  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.56 MHz ( period = 23.495 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg10 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.742 ns               ;
+; N/A                                     ; 42.58 MHz ( period = 23.484 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.223 ns               ;
+; N/A                                     ; 42.59 MHz ( period = 23.479 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; execute_stage:exec_st|reg.result[9]                                                                                                ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.209 ns               ;
+; N/A                                     ; 42.61 MHz ( period = 23.466 ns )                    ; writeback_stage:writeback_st|wb_reg.address[22]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.205 ns               ;
+; N/A                                     ; 42.63 MHz ( period = 23.459 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a6~portb_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.208 ns               ;
+; N/A                                     ; 42.64 MHz ( period = 23.451 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a19~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.169 ns               ;
+; N/A                                     ; 42.65 MHz ( period = 23.446 ns )                    ; writeback_stage:writeback_st|wb_reg.address[16]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.185 ns               ;
+; N/A                                     ; 42.67 MHz ( period = 23.434 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[12]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.114 ns               ;
+; N/A                                     ; 42.69 MHz ( period = 23.427 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg0  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.117 ns               ;
+; N/A                                     ; 42.70 MHz ( period = 23.419 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[16]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.099 ns               ;
+; N/A                                     ; 42.70 MHz ( period = 23.419 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.172 ns               ;
+; N/A                                     ; 42.71 MHz ( period = 23.414 ns )                    ; writeback_stage:writeback_st|wb_reg.address[29]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.153 ns               ;
+; N/A                                     ; 42.72 MHz ( period = 23.408 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~portb_address_reg0 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.089 ns               ;
+; N/A                                     ; 42.73 MHz ( period = 23.402 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a19~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.116 ns               ;
+; N/A                                     ; 42.73 MHz ( period = 23.402 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~porta_address_reg0 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.087 ns               ;
+; N/A                                     ; 42.73 MHz ( period = 23.401 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~porta_address_reg0  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.086 ns               ;
+; N/A                                     ; 42.74 MHz ( period = 23.400 ns )                    ; writeback_stage:writeback_st|wb_reg.address[26]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.139 ns               ;
+; N/A                                     ; 42.74 MHz ( period = 23.398 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a12~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.151 ns               ;
+; N/A                                     ; 42.74 MHz ( period = 23.395 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a10~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.148 ns               ;
+; N/A                                     ; 42.75 MHz ( period = 23.394 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.012 ns               ;
+; N/A                                     ; 42.75 MHz ( period = 23.393 ns )                    ; writeback_stage:writeback_st|wb_reg.address[15]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.132 ns               ;
+; N/A                                     ; 42.76 MHz ( period = 23.388 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.006 ns               ;
+; N/A                                     ; 42.78 MHz ( period = 23.375 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~porta_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.060 ns               ;
+; N/A                                     ; 42.79 MHz ( period = 23.370 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.119 ns               ;
+; N/A                                     ; 42.80 MHz ( period = 23.365 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.050 ns               ;
+; N/A                                     ; 42.80 MHz ( period = 23.363 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~porta_we_reg       ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.981 ns               ;
+; N/A                                     ; 42.82 MHz ( period = 23.352 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~porta_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.046 ns               ;
+; N/A                                     ; 42.83 MHz ( period = 23.350 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.968 ns               ;
+; N/A                                     ; 42.83 MHz ( period = 23.349 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a12~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.098 ns               ;
+; N/A                                     ; 42.83 MHz ( period = 23.346 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a10~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.095 ns               ;
+; N/A                                     ; 42.84 MHz ( period = 23.345 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.959 ns               ;
+; N/A                                     ; 42.84 MHz ( period = 23.344 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.962 ns               ;
+; N/A                                     ; 42.85 MHz ( period = 23.339 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.953 ns               ;
+; N/A                                     ; 42.85 MHz ( period = 23.335 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                                                                   ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.015 ns               ;
+; N/A                                     ; 42.87 MHz ( period = 23.327 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg0  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.008 ns               ;
+; N/A                                     ; 42.87 MHz ( period = 23.326 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~portb_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.007 ns               ;
+; N/A                                     ; 42.88 MHz ( period = 23.321 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a7~porta_we_reg        ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.006 ns               ;
+; N/A                                     ; 42.88 MHz ( period = 23.321 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.006 ns               ;
+; N/A                                     ; 42.89 MHz ( period = 23.317 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[25]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.89 MHz ( period = 23.316 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.997 ns               ;
+; N/A                                     ; 42.90 MHz ( period = 23.308 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~porta_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.002 ns               ;
+; N/A                                     ; 42.91 MHz ( period = 23.303 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.993 ns               ;
+; N/A                                     ; 42.92 MHz ( period = 23.301 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.915 ns               ;
+; N/A                                     ; 42.93 MHz ( period = 23.295 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.909 ns               ;
+; N/A                                     ; 42.93 MHz ( period = 23.294 ns )                    ; writeback_stage:writeback_st|wb_reg.address[30]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 23.033 ns               ;
+; N/A                                     ; 42.97 MHz ( period = 23.272 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.953 ns               ;
+; N/A                                     ; 42.97 MHz ( period = 23.271 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~porta_address_reg0  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.965 ns               ;
+; N/A                                     ; 42.98 MHz ( period = 23.269 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a7~porta_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.954 ns               ;
+; N/A                                     ; 42.99 MHz ( period = 23.259 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~portb_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.949 ns               ;
+; N/A                                     ; 43.00 MHz ( period = 23.254 ns )                    ; writeback_stage:writeback_st|wb_reg.address[19]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.993 ns               ;
+; N/A                                     ; 43.00 MHz ( period = 23.254 ns )                    ; writeback_stage:writeback_st|wb_reg.address[11]                                                                                     ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.993 ns               ;
+; N/A                                     ; 43.01 MHz ( period = 23.248 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[23]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.928 ns               ;
+; N/A                                     ; 43.02 MHz ( period = 23.246 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; execute_stage:exec_st|reg.result[15]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.985 ns               ;
+; N/A                                     ; 43.05 MHz ( period = 23.227 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~porta_address_reg0  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.921 ns               ;
+; N/A                                     ; 43.06 MHz ( period = 23.225 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a7~porta_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.910 ns               ;
+; N/A                                     ; 43.06 MHz ( period = 23.224 ns )                    ; writeback_stage:writeback_st|wb_reg.address[27]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.963 ns               ;
+; N/A                                     ; 43.06 MHz ( period = 23.221 ns )                    ; writeback_stage:writeback_st|wb_reg.address[20]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.839 ns               ;
+; N/A                                     ; 43.07 MHz ( period = 23.220 ns )                    ; writeback_stage:writeback_st|wb_reg.address[21]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a7~portb_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.901 ns               ;
+; N/A                                     ; 43.08 MHz ( period = 23.215 ns )                    ; writeback_stage:writeback_st|wb_reg.address[20]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.833 ns               ;
+; N/A                                     ; 43.08 MHz ( period = 23.212 ns )                    ; writeback_stage:writeback_st|wb_reg.address[2]                                                                                      ; execute_stage:exec_st|reg.result[15]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.927 ns               ;
+; N/A                                     ; 43.09 MHz ( period = 23.205 ns )                    ; writeback_stage:writeback_st|wb_reg.address[14]                                                                                     ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.944 ns               ;
+; N/A                                     ; 43.10 MHz ( period = 23.202 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; execute_stage:exec_st|reg.result[15]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.941 ns               ;
+; N/A                                     ; 43.10 MHz ( period = 23.202 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~porta_address_reg4 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.879 ns               ;
+; N/A                                     ; 43.10 MHz ( period = 23.201 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[7]                                                                                                ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.881 ns               ;
+; N/A                                     ; 43.11 MHz ( period = 23.198 ns )                    ; writeback_stage:writeback_st|wb_reg.address[12]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.816 ns               ;
+; N/A                                     ; 43.12 MHz ( period = 23.193 ns )                    ; writeback_stage:writeback_st|wb_reg.address[5]                                                                                      ; execute_stage:exec_st|reg.result[29]                                                                                               ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.941 ns               ;
+; N/A                                     ; 43.12 MHz ( period = 23.192 ns )                    ; writeback_stage:writeback_st|wb_reg.address[12]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.810 ns               ;
+; N/A                                     ; 43.12 MHz ( period = 23.192 ns )                    ; writeback_stage:writeback_st|wb_reg.address[20]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.877 ns               ;
+; N/A                                     ; 43.13 MHz ( period = 23.184 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a21~porta_address_reg4 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.861 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.180 ns )                    ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                         ; execute_stage:exec_st|reg.result[1]                                                                                                ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.919 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.179 ns )                    ; writeback_stage:writeback_st|wb_reg.address[20]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~porta_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.873 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg0  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg1  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg2  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg3  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg4  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg5  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg6  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg7  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg8  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg9  ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.14 MHz ( period = 23.178 ns )                    ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~portb_address_reg10 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                      ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.501 ns               ;
+; N/A                                     ; 43.15 MHz ( period = 23.176 ns )                    ; writeback_stage:writeback_st|wb_reg.address[18]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a7~portb_address_reg8  ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.857 ns               ;
+; N/A                                     ; 43.15 MHz ( period = 23.173 ns )                    ; writeback_stage:writeback_st|wb_reg.address[23]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a21~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.850 ns               ;
+; N/A                                     ; 43.16 MHz ( period = 23.172 ns )                    ; writeback_stage:writeback_st|wb_reg.address[20]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.786 ns               ;
+; N/A                                     ; 43.16 MHz ( period = 23.169 ns )                    ; writeback_stage:writeback_st|wb_reg.address[12]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~porta_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.854 ns               ;
+; N/A                                     ; 43.17 MHz ( period = 23.166 ns )                    ; writeback_stage:writeback_st|wb_reg.address[20]                                                                                     ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~portb_address_reg8 ; sys_clk    ; sys_clk  ; None                        ; None                      ; 22.780 ns               ;
+; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;                                                                                                                                     ;                                                                                                                                    ;            ;          ;                             ;                           ;                         ;
++-----------------------------------------+-----------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
 
 
-+---------------------------------------------------------------------------------------------------------------------------+
-; tsu                                                                                                                       ;
-+-------+--------------+------------+---------+------------------------------------------------------------------+----------+
-; Slack ; Required tsu ; Actual tsu ; From    ; To                                                               ; To Clock ;
-+-------+--------------+------------+---------+------------------------------------------------------------------+----------+
-; N/A   ; None         ; 18.965 ns  ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25]        ; sys_clk  ;
-; N/A   ; None         ; 18.965 ns  ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27]        ; sys_clk  ;
-; N/A   ; None         ; 18.960 ns  ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21]        ; sys_clk  ;
-; N/A   ; None         ; 18.960 ns  ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9]         ; sys_clk  ;
-; N/A   ; None         ; 18.958 ns  ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[16]        ; sys_clk  ;
-; N/A   ; None         ; 17.463 ns  ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26]        ; sys_clk  ;
-; N/A   ; None         ; 16.832 ns  ; sys_res ; execute_stage:exec_st|reg.result[2]                              ; sys_clk  ;
-; N/A   ; None         ; 14.582 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[2]                             ; sys_clk  ;
-; N/A   ; None         ; 14.522 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6]     ; sys_clk  ;
-; N/A   ; None         ; 14.522 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5]     ; sys_clk  ;
-; N/A   ; None         ; 14.521 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7]     ; sys_clk  ;
-; N/A   ; None         ; 14.516 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[0]                             ; sys_clk  ;
-; N/A   ; None         ; 14.493 ns  ; sys_res ; execute_stage:exec_st|reg.result[9]                              ; sys_clk  ;
-; N/A   ; None         ; 14.024 ns  ; sys_res ; execute_stage:exec_st|reg.result[1]                              ; sys_clk  ;
-; N/A   ; None         ; 13.946 ns  ; sys_res ; execute_stage:exec_st|reg.result[23]                             ; sys_clk  ;
-; N/A   ; None         ; 13.872 ns  ; sys_res ; execute_stage:exec_st|reg.result[27]                             ; sys_clk  ;
-; N/A   ; None         ; 13.847 ns  ; sys_res ; execute_stage:exec_st|reg.result[15]                             ; sys_clk  ;
-; N/A   ; None         ; 13.783 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28]    ; sys_clk  ;
-; N/A   ; None         ; 13.783 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29]    ; sys_clk  ;
-; N/A   ; None         ; 13.761 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23]    ; sys_clk  ;
-; N/A   ; None         ; 13.761 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20]    ; sys_clk  ;
-; N/A   ; None         ; 13.761 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21]    ; sys_clk  ;
-; N/A   ; None         ; 13.761 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22]    ; sys_clk  ;
-; N/A   ; None         ; 13.711 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1]     ; sys_clk  ;
-; N/A   ; None         ; 13.711 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11]    ; sys_clk  ;
-; N/A   ; None         ; 13.661 ns  ; sys_res ; execute_stage:exec_st|reg.result[4]                              ; sys_clk  ;
-; N/A   ; None         ; 13.515 ns  ; sys_res ; execute_stage:exec_st|reg.result[29]                             ; sys_clk  ;
-; N/A   ; None         ; 13.515 ns  ; sys_res ; execute_stage:exec_st|reg.result[30]                             ; sys_clk  ;
-; N/A   ; None         ; 13.480 ns  ; sys_res ; execute_stage:exec_st|reg.result[14]                             ; sys_clk  ;
-; N/A   ; None         ; 13.442 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19]    ; sys_clk  ;
-; N/A   ; None         ; 13.442 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16]    ; sys_clk  ;
-; N/A   ; None         ; 13.442 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15]    ; sys_clk  ;
-; N/A   ; None         ; 13.442 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18]    ; sys_clk  ;
-; N/A   ; None         ; 13.410 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27]    ; sys_clk  ;
-; N/A   ; None         ; 13.394 ns  ; sys_res ; execute_stage:exec_st|reg.result[5]                              ; sys_clk  ;
-; N/A   ; None         ; 13.358 ns  ; sys_res ; execute_stage:exec_st|reg.result[26]                             ; sys_clk  ;
-; N/A   ; None         ; 13.319 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3]     ; sys_clk  ;
-; N/A   ; None         ; 13.319 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2]     ; sys_clk  ;
-; N/A   ; None         ; 13.239 ns  ; sys_res ; execute_stage:exec_st|reg.result[6]                              ; sys_clk  ;
-; N/A   ; None         ; 13.166 ns  ; sys_res ; execute_stage:exec_st|reg.result[22]                             ; sys_clk  ;
-; N/A   ; None         ; 13.127 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk  ;
-; N/A   ; None         ; 13.127 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk  ;
-; N/A   ; None         ; 13.127 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk  ;
-; N/A   ; None         ; 13.127 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk  ;
-; N/A   ; None         ; 13.127 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk  ;
-; N/A   ; None         ; 13.127 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk  ;
-; N/A   ; None         ; 13.127 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk  ;
-; N/A   ; None         ; 13.121 ns  ; sys_res ; execute_stage:exec_st|reg.result[11]                             ; sys_clk  ;
-; N/A   ; None         ; 13.109 ns  ; sys_res ; execute_stage:exec_st|reg.result[25]                             ; sys_clk  ;
-; N/A   ; None         ; 13.015 ns  ; sys_res ; execute_stage:exec_st|reg.result[31]                             ; sys_clk  ;
-; N/A   ; None         ; 12.971 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13]    ; sys_clk  ;
-; N/A   ; None         ; 12.971 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12]    ; sys_clk  ;
-; N/A   ; None         ; 12.971 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17]    ; sys_clk  ;
-; N/A   ; None         ; 12.971 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14]    ; sys_clk  ;
-; N/A   ; None         ; 12.931 ns  ; sys_res ; execute_stage:exec_st|reg.result[8]                              ; sys_clk  ;
-; N/A   ; None         ; 12.853 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4]     ; sys_clk  ;
-; N/A   ; None         ; 12.826 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk  ;
-; N/A   ; None         ; 12.762 ns  ; sys_res ; execute_stage:exec_st|reg.result[16]                             ; sys_clk  ;
-; N/A   ; None         ; 12.742 ns  ; sys_res ; execute_stage:exec_st|reg.result[7]                              ; sys_clk  ;
-; N/A   ; None         ; 12.687 ns  ; sys_res ; execute_stage:exec_st|reg.result[28]                             ; sys_clk  ;
-; N/A   ; None         ; 12.680 ns  ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_write_en                ; sys_clk  ;
-; N/A   ; None         ; 12.550 ns  ; sys_res ; execute_stage:exec_st|reg.result[24]                             ; sys_clk  ;
-; N/A   ; None         ; 12.445 ns  ; sys_res ; execute_stage:exec_st|reg.result[10]                             ; sys_clk  ;
-; N/A   ; None         ; 12.418 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk  ;
-; N/A   ; None         ; 12.396 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10]    ; sys_clk  ;
-; N/A   ; None         ; 12.396 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9]     ; sys_clk  ;
-; N/A   ; None         ; 12.396 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8]     ; sys_clk  ;
-; N/A   ; None         ; 12.184 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[5]                             ; sys_clk  ;
-; N/A   ; None         ; 12.152 ns  ; sys_res ; execute_stage:exec_st|reg.result[0]                              ; sys_clk  ;
-; N/A   ; None         ; 12.140 ns  ; sys_res ; execute_stage:exec_st|reg.result[18]                             ; sys_clk  ;
-; N/A   ; None         ; 12.139 ns  ; sys_res ; execute_stage:exec_st|reg.result[12]                             ; sys_clk  ;
-; N/A   ; None         ; 12.113 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24]    ; sys_clk  ;
-; N/A   ; None         ; 12.113 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25]    ; sys_clk  ;
-; N/A   ; None         ; 12.108 ns  ; sys_res ; execute_stage:exec_st|reg.result[20]                             ; sys_clk  ;
-; N/A   ; None         ; 11.975 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[10]                            ; sys_clk  ;
-; N/A   ; None         ; 11.925 ns  ; sys_res ; execute_stage:exec_st|reg.result[3]                              ; sys_clk  ;
-; N/A   ; None         ; 11.648 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data     ; sys_clk  ;
-; N/A   ; None         ; 11.375 ns  ; sys_res ; execute_stage:exec_st|reg.result[19]                             ; sys_clk  ;
-; N/A   ; None         ; 11.324 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0]     ; sys_clk  ;
-; N/A   ; None         ; 11.324 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26]    ; sys_clk  ;
-; N/A   ; None         ; 11.234 ns  ; sys_res ; execute_stage:exec_st|reg.result[17]                             ; sys_clk  ;
-; N/A   ; None         ; 11.169 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[8]                             ; sys_clk  ;
-; N/A   ; None         ; 11.158 ns  ; sys_res ; execute_stage:exec_st|reg.result[21]                             ; sys_clk  ;
-; N/A   ; None         ; 11.092 ns  ; sys_res ; execute_stage:exec_st|reg.result[13]                             ; sys_clk  ;
-; N/A   ; None         ; 10.824 ns  ; sys_res ; execute_stage:exec_st|reg.wr_en                                  ; sys_clk  ;
-; N/A   ; None         ; 10.819 ns  ; sys_res ; execute_stage:exec_st|reg.alu_jump                               ; sys_clk  ;
-; N/A   ; None         ; 10.809 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[6]                             ; sys_clk  ;
-; N/A   ; None         ; 10.784 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry   ; sys_clk  ;
-; N/A   ; None         ; 10.648 ns  ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_en                      ; sys_clk  ;
-; N/A   ; None         ; 9.786 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[7]                             ; sys_clk  ;
-; N/A   ; None         ; 9.782 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[9]                             ; sys_clk  ;
-; N/A   ; None         ; 9.296 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[3]                             ; sys_clk  ;
-; N/A   ; None         ; 9.295 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[1]                             ; sys_clk  ;
-; N/A   ; None         ; 8.901 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[4]                             ; sys_clk  ;
-+-------+--------------+------------+---------+------------------------------------------------------------------+----------+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; tsu                                                                                                                                                                                   ;
++-------+--------------+------------+---------+------------------------------------------------------------------------------------------------------------------------------+----------+
+; Slack ; Required tsu ; Actual tsu ; From    ; To                                                                                                                           ; To Clock ;
++-------+--------------+------------+---------+------------------------------------------------------------------------------------------------------------------------------+----------+
+; N/A   ; None         ; 18.145 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                ; sys_clk  ;
+; N/A   ; None         ; 18.070 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[1]                                                                         ; sys_clk  ;
+; N/A   ; None         ; 17.886 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[15]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.811 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[7]                                                                         ; sys_clk  ;
+; N/A   ; None         ; 17.810 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[22]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.809 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[5]                                                                         ; sys_clk  ;
+; N/A   ; None         ; 17.808 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[31]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.807 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[17]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.805 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[4]                                                                         ; sys_clk  ;
+; N/A   ; None         ; 17.802 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[16]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.795 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[20]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.790 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[21]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.651 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[26]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.651 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[19]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.605 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[27]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.604 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[23]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.600 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[6]                                                                         ; sys_clk  ;
+; N/A   ; None         ; 17.598 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[24]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.595 ns  ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[25]                                                                        ; sys_clk  ;
+; N/A   ; None         ; 17.141 ns  ; sys_res ; execute_stage:exec_st|reg.result[26]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 16.690 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 16.648 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a7~porta_we_reg  ; sys_clk  ;
+; N/A   ; None         ; 16.185 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 16.144 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 16.119 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~porta_we_reg  ; sys_clk  ;
+; N/A   ; None         ; 15.774 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a6~porta_we_reg  ; sys_clk  ;
+; N/A   ; None         ; 15.667 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry                                                               ; sys_clk  ;
+; N/A   ; None         ; 15.650 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~porta_we_reg  ; sys_clk  ;
+; N/A   ; None         ; 15.623 ns  ; sys_res ; execute_stage:exec_st|reg.result[24]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 15.511 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 15.506 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a25~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 15.491 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a21~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 15.437 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a8~porta_we_reg  ; sys_clk  ;
+; N/A   ; None         ; 15.370 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a19~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 15.343 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a12~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 15.337 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 15.243 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a10~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 15.224 ns  ; sys_res ; execute_stage:exec_st|reg.result[21]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 15.223 ns  ; sys_res ; execute_stage:exec_st|reg.result[22]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 15.185 ns  ; sys_res ; execute_stage:exec_st|reg.result[19]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 14.915 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9]                                                                 ; sys_clk  ;
+; N/A   ; None         ; 14.897 ns  ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~porta_we_reg ; sys_clk  ;
+; N/A   ; None         ; 14.795 ns  ; sys_res ; execute_stage:exec_st|reg.result[20]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 14.407 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2]                                                                 ; sys_clk  ;
+; N/A   ; None         ; 14.258 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0]                                                                 ; sys_clk  ;
+; N/A   ; None         ; 14.258 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24]                                                                ; sys_clk  ;
+; N/A   ; None         ; 14.123 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25]                                                                ; sys_clk  ;
+; N/A   ; None         ; 14.123 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22]                                                                ; sys_clk  ;
+; N/A   ; None         ; 14.123 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21]                                                                ; sys_clk  ;
+; N/A   ; None         ; 14.112 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4]                                                                 ; sys_clk  ;
+; N/A   ; None         ; 13.407 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26]                                                                ; sys_clk  ;
+; N/A   ; None         ; 13.406 ns  ; sys_res ; execute_stage:exec_st|reg.result[12]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 13.400 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29]                                                                ; sys_clk  ;
+; N/A   ; None         ; 13.400 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28]                                                                ; sys_clk  ;
+; N/A   ; None         ; 13.400 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27]                                                                ; sys_clk  ;
+; N/A   ; None         ; 13.320 ns  ; sys_res ; execute_stage:exec_st|reg.result[11]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 13.318 ns  ; sys_res ; execute_stage:exec_st|reg.result[10]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 13.316 ns  ; sys_res ; execute_stage:exec_st|reg.result[8]                                                                                          ; sys_clk  ;
+; N/A   ; None         ; 13.212 ns  ; sys_res ; execute_stage:exec_st|reg.result[9]                                                                                          ; sys_clk  ;
+; N/A   ; None         ; 13.097 ns  ; sys_res ; execute_stage:exec_st|reg.result[1]                                                                                          ; sys_clk  ;
+; N/A   ; None         ; 12.997 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1]                                                                 ; sys_clk  ;
+; N/A   ; None         ; 12.997 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8]                                                                 ; sys_clk  ;
+; N/A   ; None         ; 12.909 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6]                                                                 ; sys_clk  ;
+; N/A   ; None         ; 12.909 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5]                                                                 ; sys_clk  ;
+; N/A   ; None         ; 12.794 ns  ; sys_res ; execute_stage:exec_st|reg.result[2]                                                                                          ; sys_clk  ;
+; N/A   ; None         ; 12.776 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.776 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.776 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.776 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.776 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.776 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.776 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.705 ns  ; sys_res ; execute_stage:exec_st|reg.result[15]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 12.661 ns  ; sys_res ; execute_stage:exec_st|reg.result[13]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 12.495 ns  ; sys_res ; execute_stage:exec_st|reg.result[0]                                                                                          ; sys_clk  ;
+; N/A   ; None         ; 12.360 ns  ; sys_res ; execute_stage:exec_st|reg.result[3]                                                                                          ; sys_clk  ;
+; N/A   ; None         ; 12.359 ns  ; sys_res ; execute_stage:exec_st|reg.result[6]                                                                                          ; sys_clk  ;
+; N/A   ; None         ; 12.357 ns  ; sys_res ; execute_stage:exec_st|reg.result[4]                                                                                          ; sys_clk  ;
+; N/A   ; None         ; 12.335 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.335 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.330 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.330 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7]                                                                 ; sys_clk  ;
+; N/A   ; None         ; 12.330 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3]                                                                 ; sys_clk  ;
+; N/A   ; None         ; 12.307 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23]                                                                ; sys_clk  ;
+; N/A   ; None         ; 12.215 ns  ; sys_res ; execute_stage:exec_st|reg.result[17]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 12.114 ns  ; sys_res ; execute_stage:exec_st|reg.result[31]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 12.113 ns  ; sys_res ; execute_stage:exec_st|reg.result[25]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 12.112 ns  ; sys_res ; execute_stage:exec_st|reg.result[27]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 12.105 ns  ; sys_res ; execute_stage:exec_st|reg.result[29]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 12.084 ns  ; sys_res ; execute_stage:exec_st|reg.result[18]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 12.084 ns  ; sys_res ; execute_stage:exec_st|reg.result[28]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 12.072 ns  ; sys_res ; execute_stage:exec_st|reg.result[5]                                                                                          ; sys_clk  ;
+; N/A   ; None         ; 12.019 ns  ; sys_res ; execute_stage:exec_st|reg.result[30]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 11.981 ns  ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11]                                                                ; sys_clk  ;
+; N/A   ; None         ; 11.974 ns  ; sys_res ; execute_stage:exec_st|reg.result[14]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 11.971 ns  ; sys_res ; execute_stage:exec_st|reg.result[16]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 11.937 ns  ; sys_res ; execute_stage:exec_st|reg.result[7]                                                                                          ; sys_clk  ;
+; N/A   ; None         ; 11.787 ns  ; sys_res ; execute_stage:exec_st|reg.result[23]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 11.158 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[4]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 11.054 ns  ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                                                            ; sys_clk  ;
+; N/A   ; None         ; 10.345 ns  ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                  ; sys_clk  ;
+; N/A   ; None         ; 10.180 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[3]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 10.094 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[6]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 10.094 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[5]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 9.929 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[10]                                                                                        ; sys_clk  ;
+; N/A   ; None         ; 9.926 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[7]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 9.926 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[8]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 9.904 ns   ; sys_res ; execute_stage:exec_st|reg.wr_en                                                                                              ; sys_clk  ;
+; N/A   ; None         ; 9.903 ns   ; sys_res ; execute_stage:exec_st|reg.alu_jump                                                                                           ; sys_clk  ;
+; N/A   ; None         ; 9.873 ns   ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[0]                                       ; sys_clk  ;
+; N/A   ; None         ; 9.605 ns   ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[6]                                       ; sys_clk  ;
+; N/A   ; None         ; 9.403 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[9]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 9.340 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[1]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 9.338 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[0]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 9.259 ns   ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[7]                                       ; sys_clk  ;
+; N/A   ; None         ; 9.257 ns   ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[2]                                       ; sys_clk  ;
+; N/A   ; None         ; 9.012 ns   ; sys_res ; fetch_stage:fetch_st|instr_r_addr[2]                                                                                         ; sys_clk  ;
+; N/A   ; None         ; 8.582 ns   ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[5]                                       ; sys_clk  ;
+; N/A   ; None         ; 7.904 ns   ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|new_rx_data                                          ; sys_clk  ;
+; N/A   ; None         ; 7.904 ns   ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|bus_rx_int                                           ; sys_clk  ;
+; N/A   ; None         ; 6.963 ns   ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[3]                                       ; sys_clk  ;
+; N/A   ; None         ; 6.869 ns   ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[1]                                       ; sys_clk  ;
+; N/A   ; None         ; 6.867 ns   ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[4]                                       ; sys_clk  ;
+; N/A   ; None         ; 5.680 ns   ; bus_rx  ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|sync[1]                                              ; sys_clk  ;
++-------+--------------+------------+---------+------------------------------------------------------------------------------------------------------------------------------+----------+
 
 
-+----------------------------------------------------------------------------------------------------------------------------------------------+
-; tco                                                                                                                                          ;
-+-------+--------------+------------+------------------------------------------------------------------------------------+--------+------------+
-; Slack ; Required tco ; Actual tco ; From                                                                               ; To     ; From Clock ;
-+-------+--------------+------------+------------------------------------------------------------------------------------+--------+------------+
-; N/A   ; None         ; 10.165 ns  ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int ; bus_tx ; sys_clk    ;
-+-------+--------------+------------+------------------------------------------------------------------------------------+--------+------------+
++------------------------------------------------------------------------------------------------------------------------------------------------+
+; tco                                                                                                                                            ;
++-------+--------------+------------+------------------------------------------------------------------------------------+----------+------------+
+; Slack ; Required tco ; Actual tco ; From                                                                               ; To       ; From Clock ;
++-------+--------------+------------+------------------------------------------------------------------------------------+----------+------------+
+; N/A   ; None         ; 10.677 ns  ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int ; bus_tx   ; sys_clk    ;
+; N/A   ; None         ; 10.627 ns  ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[2]                 ; sseg0[2] ; sys_clk    ;
+; N/A   ; None         ; 9.877 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[1]                 ; sseg1[1] ; sys_clk    ;
+; N/A   ; None         ; 9.406 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[0]                 ; sseg2[0] ; sys_clk    ;
+; N/A   ; None         ; 9.395 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[4]                 ; sseg2[4] ; sys_clk    ;
+; N/A   ; None         ; 9.182 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[6]                 ; sseg1[6] ; sys_clk    ;
+; N/A   ; None         ; 9.091 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[2]                 ; sseg1[2] ; sys_clk    ;
+; N/A   ; None         ; 9.079 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[0]                 ; sseg1[0] ; sys_clk    ;
+; N/A   ; None         ; 8.940 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[3]                 ; sseg1[3] ; sys_clk    ;
+; N/A   ; None         ; 8.778 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[6]                 ; sseg0[6] ; sys_clk    ;
+; N/A   ; None         ; 8.704 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[2]                 ; sseg2[2] ; sys_clk    ;
+; N/A   ; None         ; 8.694 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[3]                 ; sseg3[3] ; sys_clk    ;
+; N/A   ; None         ; 8.693 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[2]                 ; sseg3[2] ; sys_clk    ;
+; N/A   ; None         ; 8.684 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[6]                 ; sseg2[6] ; sys_clk    ;
+; N/A   ; None         ; 8.663 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[4]                 ; sseg0[4] ; sys_clk    ;
+; N/A   ; None         ; 8.607 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[1]                 ; sseg3[1] ; sys_clk    ;
+; N/A   ; None         ; 8.606 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[5]                 ; sseg3[5] ; sys_clk    ;
+; N/A   ; None         ; 8.605 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[4]                 ; sseg3[4] ; sys_clk    ;
+; N/A   ; None         ; 8.592 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[3]                 ; sseg0[3] ; sys_clk    ;
+; N/A   ; None         ; 8.503 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[6]                 ; sseg3[6] ; sys_clk    ;
+; N/A   ; None         ; 8.484 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[1]                 ; sseg0[1] ; sys_clk    ;
+; N/A   ; None         ; 8.475 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[0]                 ; sseg0[0] ; sys_clk    ;
+; N/A   ; None         ; 8.230 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[5]                 ; sseg0[5] ; sys_clk    ;
+; N/A   ; None         ; 8.188 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[0]                 ; sseg3[0] ; sys_clk    ;
+; N/A   ; None         ; 7.759 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[5]                 ; sseg2[5] ; sys_clk    ;
+; N/A   ; None         ; 7.360 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[3]                 ; sseg2[3] ; sys_clk    ;
+; N/A   ; None         ; 7.356 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[1]                 ; sseg2[1] ; sys_clk    ;
+; N/A   ; None         ; 7.295 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[4]                 ; sseg1[4] ; sys_clk    ;
+; N/A   ; None         ; 7.293 ns   ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[5]                 ; sseg1[5] ; sys_clk    ;
++-------+--------------+------------+------------------------------------------------------------------------------------+----------+------------+
 
 
-+----------------------------------------------------------------------------------------------------------------------------------+
-; th                                                                                                                               ;
-+---------------+-------------+------------+---------+------------------------------------------------------------------+----------+
-; Minimum Slack ; Required th ; Actual th  ; From    ; To                                                               ; To Clock ;
-+---------------+-------------+------------+---------+------------------------------------------------------------------+----------+
-; N/A           ; None        ; -8.849 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[4]                             ; sys_clk  ;
-; N/A           ; None        ; -9.173 ns  ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26]        ; sys_clk  ;
-; N/A           ; None        ; -9.243 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[1]                             ; sys_clk  ;
-; N/A           ; None        ; -9.244 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[3]                             ; sys_clk  ;
-; N/A           ; None        ; -9.730 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[9]                             ; sys_clk  ;
-; N/A           ; None        ; -9.734 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[7]                             ; sys_clk  ;
-; N/A           ; None        ; -10.596 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_en                      ; sys_clk  ;
-; N/A           ; None        ; -10.732 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry   ; sys_clk  ;
-; N/A           ; None        ; -10.757 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[6]                             ; sys_clk  ;
-; N/A           ; None        ; -10.767 ns ; sys_res ; execute_stage:exec_st|reg.alu_jump                               ; sys_clk  ;
-; N/A           ; None        ; -10.772 ns ; sys_res ; execute_stage:exec_st|reg.wr_en                                  ; sys_clk  ;
-; N/A           ; None        ; -11.019 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25]        ; sys_clk  ;
-; N/A           ; None        ; -11.020 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[16]        ; sys_clk  ;
-; N/A           ; None        ; -11.021 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27]        ; sys_clk  ;
-; N/A           ; None        ; -11.021 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9]         ; sys_clk  ;
-; N/A           ; None        ; -11.040 ns ; sys_res ; execute_stage:exec_st|reg.result[13]                             ; sys_clk  ;
-; N/A           ; None        ; -11.106 ns ; sys_res ; execute_stage:exec_st|reg.result[21]                             ; sys_clk  ;
-; N/A           ; None        ; -11.117 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[8]                             ; sys_clk  ;
-; N/A           ; None        ; -11.182 ns ; sys_res ; execute_stage:exec_st|reg.result[17]                             ; sys_clk  ;
-; N/A           ; None        ; -11.272 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0]     ; sys_clk  ;
-; N/A           ; None        ; -11.272 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26]    ; sys_clk  ;
-; N/A           ; None        ; -11.323 ns ; sys_res ; execute_stage:exec_st|reg.result[19]                             ; sys_clk  ;
-; N/A           ; None        ; -11.327 ns ; sys_res ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21]        ; sys_clk  ;
-; N/A           ; None        ; -11.596 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|new_tx_data     ; sys_clk  ;
-; N/A           ; None        ; -11.873 ns ; sys_res ; execute_stage:exec_st|reg.result[3]                              ; sys_clk  ;
-; N/A           ; None        ; -11.923 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[10]                            ; sys_clk  ;
-; N/A           ; None        ; -12.056 ns ; sys_res ; execute_stage:exec_st|reg.result[20]                             ; sys_clk  ;
-; N/A           ; None        ; -12.061 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24]    ; sys_clk  ;
-; N/A           ; None        ; -12.061 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25]    ; sys_clk  ;
-; N/A           ; None        ; -12.087 ns ; sys_res ; execute_stage:exec_st|reg.result[12]                             ; sys_clk  ;
-; N/A           ; None        ; -12.088 ns ; sys_res ; execute_stage:exec_st|reg.result[18]                             ; sys_clk  ;
-; N/A           ; None        ; -12.100 ns ; sys_res ; execute_stage:exec_st|reg.result[0]                              ; sys_clk  ;
-; N/A           ; None        ; -12.132 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[5]                             ; sys_clk  ;
-; N/A           ; None        ; -12.344 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10]    ; sys_clk  ;
-; N/A           ; None        ; -12.344 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9]     ; sys_clk  ;
-; N/A           ; None        ; -12.344 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8]     ; sys_clk  ;
-; N/A           ; None        ; -12.366 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]     ; sys_clk  ;
-; N/A           ; None        ; -12.393 ns ; sys_res ; execute_stage:exec_st|reg.result[10]                             ; sys_clk  ;
-; N/A           ; None        ; -12.498 ns ; sys_res ; execute_stage:exec_st|reg.result[24]                             ; sys_clk  ;
-; N/A           ; None        ; -12.628 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_write_en                ; sys_clk  ;
-; N/A           ; None        ; -12.635 ns ; sys_res ; execute_stage:exec_st|reg.result[28]                             ; sys_clk  ;
-; N/A           ; None        ; -12.690 ns ; sys_res ; execute_stage:exec_st|reg.result[7]                              ; sys_clk  ;
-; N/A           ; None        ; -12.710 ns ; sys_res ; execute_stage:exec_st|reg.result[16]                             ; sys_clk  ;
-; N/A           ; None        ; -12.730 ns ; sys_res ; execute_stage:exec_st|reg.result[1]                              ; sys_clk  ;
-; N/A           ; None        ; -12.774 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7] ; sys_clk  ;
-; N/A           ; None        ; -12.801 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4]     ; sys_clk  ;
-; N/A           ; None        ; -12.879 ns ; sys_res ; execute_stage:exec_st|reg.result[8]                              ; sys_clk  ;
-; N/A           ; None        ; -12.919 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13]    ; sys_clk  ;
-; N/A           ; None        ; -12.919 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12]    ; sys_clk  ;
-; N/A           ; None        ; -12.919 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17]    ; sys_clk  ;
-; N/A           ; None        ; -12.919 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14]    ; sys_clk  ;
-; N/A           ; None        ; -12.963 ns ; sys_res ; execute_stage:exec_st|reg.result[31]                             ; sys_clk  ;
-; N/A           ; None        ; -13.057 ns ; sys_res ; execute_stage:exec_st|reg.result[25]                             ; sys_clk  ;
-; N/A           ; None        ; -13.069 ns ; sys_res ; execute_stage:exec_st|reg.result[11]                             ; sys_clk  ;
-; N/A           ; None        ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2] ; sys_clk  ;
-; N/A           ; None        ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1] ; sys_clk  ;
-; N/A           ; None        ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3] ; sys_clk  ;
-; N/A           ; None        ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0] ; sys_clk  ;
-; N/A           ; None        ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6] ; sys_clk  ;
-; N/A           ; None        ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5] ; sys_clk  ;
-; N/A           ; None        ; -13.075 ns ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4] ; sys_clk  ;
-; N/A           ; None        ; -13.114 ns ; sys_res ; execute_stage:exec_st|reg.result[22]                             ; sys_clk  ;
-; N/A           ; None        ; -13.187 ns ; sys_res ; execute_stage:exec_st|reg.result[6]                              ; sys_clk  ;
-; N/A           ; None        ; -13.267 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3]     ; sys_clk  ;
-; N/A           ; None        ; -13.267 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2]     ; sys_clk  ;
-; N/A           ; None        ; -13.306 ns ; sys_res ; execute_stage:exec_st|reg.result[26]                             ; sys_clk  ;
-; N/A           ; None        ; -13.342 ns ; sys_res ; execute_stage:exec_st|reg.result[5]                              ; sys_clk  ;
-; N/A           ; None        ; -13.358 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27]    ; sys_clk  ;
-; N/A           ; None        ; -13.390 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19]    ; sys_clk  ;
-; N/A           ; None        ; -13.390 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16]    ; sys_clk  ;
-; N/A           ; None        ; -13.390 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15]    ; sys_clk  ;
-; N/A           ; None        ; -13.390 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18]    ; sys_clk  ;
-; N/A           ; None        ; -13.428 ns ; sys_res ; execute_stage:exec_st|reg.result[14]                             ; sys_clk  ;
-; N/A           ; None        ; -13.463 ns ; sys_res ; execute_stage:exec_st|reg.result[29]                             ; sys_clk  ;
-; N/A           ; None        ; -13.463 ns ; sys_res ; execute_stage:exec_st|reg.result[30]                             ; sys_clk  ;
-; N/A           ; None        ; -13.609 ns ; sys_res ; execute_stage:exec_st|reg.result[4]                              ; sys_clk  ;
-; N/A           ; None        ; -13.659 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1]     ; sys_clk  ;
-; N/A           ; None        ; -13.659 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11]    ; sys_clk  ;
-; N/A           ; None        ; -13.709 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23]    ; sys_clk  ;
-; N/A           ; None        ; -13.709 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20]    ; sys_clk  ;
-; N/A           ; None        ; -13.709 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21]    ; sys_clk  ;
-; N/A           ; None        ; -13.709 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22]    ; sys_clk  ;
-; N/A           ; None        ; -13.731 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28]    ; sys_clk  ;
-; N/A           ; None        ; -13.731 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29]    ; sys_clk  ;
-; N/A           ; None        ; -13.795 ns ; sys_res ; execute_stage:exec_st|reg.result[15]                             ; sys_clk  ;
-; N/A           ; None        ; -13.820 ns ; sys_res ; execute_stage:exec_st|reg.result[27]                             ; sys_clk  ;
-; N/A           ; None        ; -13.894 ns ; sys_res ; execute_stage:exec_st|reg.result[23]                             ; sys_clk  ;
-; N/A           ; None        ; -14.441 ns ; sys_res ; execute_stage:exec_st|reg.result[9]                              ; sys_clk  ;
-; N/A           ; None        ; -14.464 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[0]                             ; sys_clk  ;
-; N/A           ; None        ; -14.469 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7]     ; sys_clk  ;
-; N/A           ; None        ; -14.470 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6]     ; sys_clk  ;
-; N/A           ; None        ; -14.470 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5]     ; sys_clk  ;
-; N/A           ; None        ; -14.530 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[2]                             ; sys_clk  ;
-; N/A           ; None        ; -15.539 ns ; sys_res ; execute_stage:exec_st|reg.result[2]                              ; sys_clk  ;
-+---------------+-------------+------------+---------+------------------------------------------------------------------+----------+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; th                                                                                                                                                                                           ;
++---------------+-------------+------------+---------+------------------------------------------------------------------------------------------------------------------------------+----------+
+; Minimum Slack ; Required th ; Actual th  ; From    ; To                                                                                                                           ; To Clock ;
++---------------+-------------+------------+---------+------------------------------------------------------------------------------------------------------------------------------+----------+
+; N/A           ; None        ; -5.628 ns  ; bus_rx  ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|sync[1]                                              ; sys_clk  ;
+; N/A           ; None        ; -6.815 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[4]                                       ; sys_clk  ;
+; N/A           ; None        ; -6.817 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[1]                                       ; sys_clk  ;
+; N/A           ; None        ; -6.911 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[3]                                       ; sys_clk  ;
+; N/A           ; None        ; -7.852 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|new_rx_data                                          ; sys_clk  ;
+; N/A           ; None        ; -7.852 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|bus_rx_int                                           ; sys_clk  ;
+; N/A           ; None        ; -8.530 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[5]                                       ; sys_clk  ;
+; N/A           ; None        ; -8.960 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[2]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -9.205 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[2]                                       ; sys_clk  ;
+; N/A           ; None        ; -9.207 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[7]                                       ; sys_clk  ;
+; N/A           ; None        ; -9.286 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[0]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -9.288 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[1]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -9.351 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[9]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -9.553 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[6]                                       ; sys_clk  ;
+; N/A           ; None        ; -9.821 ns  ; sys_res ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[0]                                       ; sys_clk  ;
+; N/A           ; None        ; -9.851 ns  ; sys_res ; execute_stage:exec_st|reg.alu_jump                                                                                           ; sys_clk  ;
+; N/A           ; None        ; -9.852 ns  ; sys_res ; execute_stage:exec_st|reg.wr_en                                                                                              ; sys_clk  ;
+; N/A           ; None        ; -9.874 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[7]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -9.874 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[8]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -9.877 ns  ; sys_res ; fetch_stage:fetch_st|instr_r_addr[10]                                                                                        ; sys_clk  ;
+; N/A           ; None        ; -10.042 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[6]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -10.042 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[5]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -10.128 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[3]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -10.293 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_en                                                                                  ; sys_clk  ;
+; N/A           ; None        ; -11.002 ns ; sys_res ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                                                            ; sys_clk  ;
+; N/A           ; None        ; -11.106 ns ; sys_res ; fetch_stage:fetch_st|instr_r_addr[4]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -11.409 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[23]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.410 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[25]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.410 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[27]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.412 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[24]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.413 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[6]                                                                         ; sys_clk  ;
+; N/A           ; None        ; -11.494 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[15]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.528 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[22]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.529 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[7]                                                                         ; sys_clk  ;
+; N/A           ; None        ; -11.532 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[5]                                                                         ; sys_clk  ;
+; N/A           ; None        ; -11.536 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[31]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.539 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[17]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.541 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[4]                                                                         ; sys_clk  ;
+; N/A           ; None        ; -11.542 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[16]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.544 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[20]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.545 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[21]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.583 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[19]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.585 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[26]                                                                        ; sys_clk  ;
+; N/A           ; None        ; -11.735 ns ; sys_res ; execute_stage:exec_st|reg.result[23]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -11.885 ns ; sys_res ; execute_stage:exec_st|reg.result[7]                                                                                          ; sys_clk  ;
+; N/A           ; None        ; -11.919 ns ; sys_res ; execute_stage:exec_st|reg.result[16]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -11.922 ns ; sys_res ; execute_stage:exec_st|reg.result[14]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -11.929 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11]                                                                ; sys_clk  ;
+; N/A           ; None        ; -11.967 ns ; sys_res ; execute_stage:exec_st|reg.result[30]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -12.020 ns ; sys_res ; execute_stage:exec_st|reg.result[5]                                                                                          ; sys_clk  ;
+; N/A           ; None        ; -12.032 ns ; sys_res ; execute_stage:exec_st|reg.result[18]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -12.032 ns ; sys_res ; execute_stage:exec_st|reg.result[28]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -12.053 ns ; sys_res ; execute_stage:exec_st|reg.result[29]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -12.060 ns ; sys_res ; execute_stage:exec_st|reg.result[27]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -12.061 ns ; sys_res ; execute_stage:exec_st|reg.result[25]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -12.062 ns ; sys_res ; execute_stage:exec_st|reg.result[31]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -12.163 ns ; sys_res ; execute_stage:exec_st|reg.result[17]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -12.255 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.278 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.278 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7]                                                                 ; sys_clk  ;
+; N/A           ; None        ; -12.278 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3]                                                                 ; sys_clk  ;
+; N/A           ; None        ; -12.283 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.283 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.305 ns ; sys_res ; execute_stage:exec_st|reg.result[4]                                                                                          ; sys_clk  ;
+; N/A           ; None        ; -12.307 ns ; sys_res ; execute_stage:exec_st|reg.result[6]                                                                                          ; sys_clk  ;
+; N/A           ; None        ; -12.308 ns ; sys_res ; execute_stage:exec_st|reg.result[3]                                                                                          ; sys_clk  ;
+; N/A           ; None        ; -12.443 ns ; sys_res ; execute_stage:exec_st|reg.result[0]                                                                                          ; sys_clk  ;
+; N/A           ; None        ; -12.609 ns ; sys_res ; execute_stage:exec_st|reg.result[13]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -12.653 ns ; sys_res ; execute_stage:exec_st|reg.result[15]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -12.724 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.724 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.724 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.724 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.724 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.724 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.724 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15]                                                                ; sys_clk  ;
+; N/A           ; None        ; -12.742 ns ; sys_res ; execute_stage:exec_st|reg.result[2]                                                                                          ; sys_clk  ;
+; N/A           ; None        ; -12.857 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6]                                                                 ; sys_clk  ;
+; N/A           ; None        ; -12.857 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5]                                                                 ; sys_clk  ;
+; N/A           ; None        ; -12.945 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1]                                                                 ; sys_clk  ;
+; N/A           ; None        ; -12.945 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8]                                                                 ; sys_clk  ;
+; N/A           ; None        ; -13.045 ns ; sys_res ; execute_stage:exec_st|reg.result[1]                                                                                          ; sys_clk  ;
+; N/A           ; None        ; -13.160 ns ; sys_res ; execute_stage:exec_st|reg.result[9]                                                                                          ; sys_clk  ;
+; N/A           ; None        ; -13.264 ns ; sys_res ; execute_stage:exec_st|reg.result[8]                                                                                          ; sys_clk  ;
+; N/A           ; None        ; -13.266 ns ; sys_res ; execute_stage:exec_st|reg.result[10]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -13.268 ns ; sys_res ; execute_stage:exec_st|reg.result[11]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -13.348 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29]                                                                ; sys_clk  ;
+; N/A           ; None        ; -13.348 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28]                                                                ; sys_clk  ;
+; N/A           ; None        ; -13.348 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27]                                                                ; sys_clk  ;
+; N/A           ; None        ; -13.354 ns ; sys_res ; execute_stage:exec_st|reg.result[12]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -13.355 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26]                                                                ; sys_clk  ;
+; N/A           ; None        ; -13.682 ns ; sys_res ; fetch_stage:fetch_st|rom:instruction_ram|data_out[1]                                                                         ; sys_clk  ;
+; N/A           ; None        ; -14.060 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4]                                                                 ; sys_clk  ;
+; N/A           ; None        ; -14.071 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25]                                                                ; sys_clk  ;
+; N/A           ; None        ; -14.071 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22]                                                                ; sys_clk  ;
+; N/A           ; None        ; -14.071 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21]                                                                ; sys_clk  ;
+; N/A           ; None        ; -14.206 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0]                                                                 ; sys_clk  ;
+; N/A           ; None        ; -14.206 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24]                                                                ; sys_clk  ;
+; N/A           ; None        ; -14.355 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2]                                                                 ; sys_clk  ;
+; N/A           ; None        ; -14.743 ns ; sys_res ; execute_stage:exec_st|reg.result[20]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -14.749 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a20~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -14.863 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9]                                                                 ; sys_clk  ;
+; N/A           ; None        ; -15.095 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a10~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -15.133 ns ; sys_res ; execute_stage:exec_st|reg.result[19]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -15.171 ns ; sys_res ; execute_stage:exec_st|reg.result[22]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -15.172 ns ; sys_res ; execute_stage:exec_st|reg.result[21]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -15.189 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a30~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -15.195 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a12~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -15.222 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a19~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -15.289 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a8~porta_we_reg  ; sys_clk  ;
+; N/A           ; None        ; -15.343 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a21~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -15.358 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a25~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -15.363 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a14~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -15.502 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a2~porta_we_reg  ; sys_clk  ;
+; N/A           ; None        ; -15.571 ns ; sys_res ; execute_stage:exec_st|reg.result[24]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -15.615 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry                                                               ; sys_clk  ;
+; N/A           ; None        ; -15.626 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a6~porta_we_reg  ; sys_clk  ;
+; N/A           ; None        ; -15.971 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a3~porta_we_reg  ; sys_clk  ;
+; N/A           ; None        ; -15.996 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a29~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -16.037 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a24~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -16.500 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a7~porta_we_reg  ; sys_clk  ;
+; N/A           ; None        ; -16.542 ns ; sys_res ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ram_block1a16~porta_we_reg ; sys_clk  ;
+; N/A           ; None        ; -17.089 ns ; sys_res ; execute_stage:exec_st|reg.result[26]                                                                                         ; sys_clk  ;
+; N/A           ; None        ; -18.093 ns ; sys_res ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                                                                ; sys_clk  ;
++---------------+-------------+------------+---------+------------------------------------------------------------------------------------------------------------------------------+----------+
 
 
 +--------------------------+
@@ -537,98 +625,86 @@ Parallel compilation was disabled, but you have multiple processors available. E
 Info: *******************************************************************
 Info: Running Quartus II Classic Timing Analyzer
     Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
-    Info: Processing started: Fri Dec 17 12:27:18 2010
+    Info: Processing started: Sun Dec 19 20:36:50 2010
 Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off dt -c dt --timing_analysis_only
 Warning: Classic Timing Analyzer will not be available in a future release of the Quartus II software. Use the TimeQuest Timing Analyzer to run timing analysis on your design. Convert all the project settings and the timing constraints to TimeQuest Timing Analyzer equivalents.
 Warning: Found pins functioning as undefined clocks and/or memory enables
     Info: Assuming node "sys_clk" is an undefined clock
-Info: Clock "sys_clk" has Internal fmax of 46.34 MHz between source memory "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0" and destination register "writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2]" (period= 21.578 ns)
-    Info: + Longest memory to register delay is 20.884 ns
-        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X33_Y14; Fanout = 32; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0'
-        Info: 2: + IC(0.000 ns) + CELL(4.317 ns) = 4.317 ns; Loc. = M4K_X33_Y14; Fanout = 1; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a7'
-        Info: 3: + IC(1.221 ns) + CELL(0.114 ns) = 5.652 ns; Loc. = LC_X35_Y16_N2; Fanout = 2; COMB Node = 'execute_stage:exec_st|right_operand[7]~17'
-        Info: 4: + IC(1.274 ns) + CELL(0.114 ns) = 7.040 ns; Loc. = LC_X36_Y15_N7; Fanout = 4; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~COMBOUT'
-        Info: 5: + IC(1.641 ns) + CELL(0.423 ns) = 9.104 ns; Loc. = LC_X32_Y16_N1; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~29'
-        Info: 6: + IC(0.000 ns) + CELL(0.078 ns) = 9.182 ns; Loc. = LC_X32_Y16_N2; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~19'
-        Info: 7: + IC(0.000 ns) + CELL(0.078 ns) = 9.260 ns; Loc. = LC_X32_Y16_N3; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~34'
-        Info: 8: + IC(0.000 ns) + CELL(0.178 ns) = 9.438 ns; Loc. = LC_X32_Y16_N4; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~54'
-        Info: 9: + IC(0.000 ns) + CELL(0.208 ns) = 9.646 ns; Loc. = LC_X32_Y16_N9; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~89'
-        Info: 10: + IC(0.000 ns) + CELL(0.679 ns) = 10.325 ns; Loc. = LC_X32_Y15_N0; Fanout = 3; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~102'
-        Info: 11: + IC(1.125 ns) + CELL(0.564 ns) = 12.014 ns; Loc. = LC_X31_Y15_N0; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[16]~102'
-        Info: 12: + IC(0.000 ns) + CELL(0.078 ns) = 12.092 ns; Loc. = LC_X31_Y15_N1; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[17]~107'
-        Info: 13: + IC(0.000 ns) + CELL(0.078 ns) = 12.170 ns; Loc. = LC_X31_Y15_N2; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[18]~112'
-        Info: 14: + IC(0.000 ns) + CELL(0.078 ns) = 12.248 ns; Loc. = LC_X31_Y15_N3; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[19]~92'
-        Info: 15: + IC(0.000 ns) + CELL(0.178 ns) = 12.426 ns; Loc. = LC_X31_Y15_N4; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[20]~117'
-        Info: 16: + IC(0.000 ns) + CELL(0.208 ns) = 12.634 ns; Loc. = LC_X31_Y15_N9; Fanout = 6; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[25]~142'
-        Info: 17: + IC(0.000 ns) + CELL(0.679 ns) = 13.313 ns; Loc. = LC_X31_Y14_N2; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|alu_result.result[28]~65'
-        Info: 18: + IC(1.677 ns) + CELL(0.442 ns) = 15.432 ns; Loc. = LC_X36_Y12_N1; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector46~0'
-        Info: 19: + IC(1.252 ns) + CELL(0.114 ns) = 16.798 ns; Loc. = LC_X36_Y16_N7; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector46~1'
-        Info: 20: + IC(1.221 ns) + CELL(0.114 ns) = 18.133 ns; Loc. = LC_X36_Y15_N5; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~3'
-        Info: 21: + IC(0.418 ns) + CELL(0.114 ns) = 18.665 ns; Loc. = LC_X36_Y15_N0; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~4'
-        Info: 22: + IC(0.182 ns) + CELL(0.114 ns) = 18.961 ns; Loc. = LC_X36_Y15_N1; Fanout = 5; COMB Node = 'writeback_stage:writeback_st|Equal0~8'
-        Info: 23: + IC(0.182 ns) + CELL(0.114 ns) = 19.257 ns; Loc. = LC_X36_Y15_N2; Fanout = 8; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]~0'
-        Info: 24: + IC(0.760 ns) + CELL(0.867 ns) = 20.884 ns; Loc. = LC_X37_Y15_N7; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2]'
-        Info: Total cell delay = 9.931 ns ( 47.55 % )
-        Info: Total interconnect delay = 10.953 ns ( 52.45 % )
-    Info: - Smallest clock skew is -0.007 ns
-        Info: + Shortest clock path from clock "sys_clk" to destination register is 3.178 ns
-            Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk'
-            Info: 2: + IC(0.998 ns) + CELL(0.711 ns) = 3.178 ns; Loc. = LC_X37_Y15_N7; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2]'
-            Info: Total cell delay = 2.180 ns ( 68.60 % )
-            Info: Total interconnect delay = 0.998 ns ( 31.40 % )
-        Info: - Longest clock path from clock "sys_clk" to source memory is 3.185 ns
-            Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk'
-            Info: 2: + IC(0.998 ns) + CELL(0.718 ns) = 3.185 ns; Loc. = M4K_X33_Y14; Fanout = 32; MEM Node = 'decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ram_block1a2~portb_address_reg0'
-            Info: Total cell delay = 2.187 ns ( 68.67 % )
-            Info: Total interconnect delay = 0.998 ns ( 31.33 % )
-    Info: + Micro clock to output delay of source is 0.650 ns
+Info: Clock "sys_clk" has Internal fmax of 36.95 MHz between source register "writeback_stage:writeback_st|wb_reg.dmem_en" and destination register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero" (period= 27.067 ns)
+    Info: + Longest register to register delay is 26.747 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X25_Y17_N9; Fanout = 91; REG Node = 'writeback_stage:writeback_st|wb_reg.dmem_en'
+        Info: 2: + IC(1.734 ns) + CELL(0.590 ns) = 2.324 ns; Loc. = LC_X24_Y13_N7; Fanout = 8; COMB Node = 'writeback_stage:writeback_st|regfile_val[0]~3'
+        Info: 3: + IC(3.282 ns) + CELL(0.590 ns) = 6.196 ns; Loc. = LC_X24_Y9_N9; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|regfile_val[0]~48'
+        Info: 4: + IC(2.117 ns) + CELL(0.442 ns) = 8.755 ns; Loc. = LC_X29_Y17_N8; Fanout = 5; COMB Node = 'writeback_stage:writeback_st|regfile_val[0]~49'
+        Info: 5: + IC(1.568 ns) + CELL(0.114 ns) = 10.437 ns; Loc. = LC_X31_Y15_N1; Fanout = 1; COMB Node = 'execute_stage:exec_st|right_operand[0]~18'
+        Info: 6: + IC(0.182 ns) + CELL(0.114 ns) = 10.733 ns; Loc. = LC_X31_Y15_N2; Fanout = 105; COMB Node = 'execute_stage:exec_st|right_operand[0]~19'
+        Info: 7: + IC(1.760 ns) + CELL(0.114 ns) = 12.607 ns; Loc. = LC_X28_Y14_N8; Fanout = 13; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst|ShiftLeft0~14'
+        Info: 8: + IC(2.460 ns) + CELL(0.114 ns) = 15.181 ns; Loc. = LC_X29_Y14_N0; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst|ShiftRight0~15'
+        Info: 9: + IC(0.400 ns) + CELL(0.442 ns) = 16.023 ns; Loc. = LC_X29_Y14_N6; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst|ShiftLeft0~31'
+        Info: 10: + IC(3.419 ns) + CELL(0.114 ns) = 19.556 ns; Loc. = LC_X27_Y11_N7; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst|ShiftLeft0~60'
+        Info: 11: + IC(0.459 ns) + CELL(0.292 ns) = 20.307 ns; Loc. = LC_X27_Y11_N0; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector11~0'
+        Info: 12: + IC(0.423 ns) + CELL(0.114 ns) = 20.844 ns; Loc. = LC_X27_Y11_N6; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector11~1'
+        Info: 13: + IC(1.211 ns) + CELL(0.292 ns) = 22.347 ns; Loc. = LC_X23_Y11_N1; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector11~2'
+        Info: 14: + IC(0.182 ns) + CELL(0.114 ns) = 22.643 ns; Loc. = LC_X23_Y11_N2; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector11~5'
+        Info: 15: + IC(0.456 ns) + CELL(0.292 ns) = 23.391 ns; Loc. = LC_X23_Y11_N4; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Equal0~1'
+        Info: 16: + IC(2.074 ns) + CELL(0.114 ns) = 25.579 ns; Loc. = LC_X28_Y18_N2; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Equal0~2'
+        Info: 17: + IC(0.430 ns) + CELL(0.738 ns) = 26.747 ns; Loc. = LC_X28_Y18_N6; Fanout = 1; REG Node = 'execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero'
+        Info: Total cell delay = 4.590 ns ( 17.16 % )
+        Info: Total interconnect delay = 22.157 ns ( 82.84 % )
+    Info: - Smallest clock skew is -0.059 ns
+        Info: + Shortest clock path from clock "sys_clk" to destination register is 3.187 ns
+            Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 1098; CLK Node = 'sys_clk'
+            Info: 2: + IC(1.007 ns) + CELL(0.711 ns) = 3.187 ns; Loc. = LC_X28_Y18_N6; Fanout = 1; REG Node = 'execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero'
+            Info: Total cell delay = 2.180 ns ( 68.40 % )
+            Info: Total interconnect delay = 1.007 ns ( 31.60 % )
+        Info: - Longest clock path from clock "sys_clk" to source register is 3.246 ns
+            Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 1098; CLK Node = 'sys_clk'
+            Info: 2: + IC(1.066 ns) + CELL(0.711 ns) = 3.246 ns; Loc. = LC_X25_Y17_N9; Fanout = 91; REG Node = 'writeback_stage:writeback_st|wb_reg.dmem_en'
+            Info: Total cell delay = 2.180 ns ( 67.16 % )
+            Info: Total interconnect delay = 1.066 ns ( 32.84 % )
+    Info: + Micro clock to output delay of source is 0.224 ns
     Info: + Micro setup delay of destination is 0.037 ns
-Info: tsu for register "fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25]" (data pin = "sys_res", clock pin = "sys_clk") is 18.965 ns
-    Info: + Longest pin to register delay is 22.115 ns
-        Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_42; Fanout = 205; PIN Node = 'sys_res'
-        Info: 2: + IC(9.029 ns) + CELL(0.114 ns) = 10.612 ns; Loc. = LC_X38_Y14_N6; Fanout = 10; COMB Node = 'fetch_stage:fetch_st|instr_r_addr_nxt[6]~3'
-        Info: 3: + IC(0.479 ns) + CELL(0.114 ns) = 11.205 ns; Loc. = LC_X38_Y14_N3; Fanout = 8; COMB Node = 'fetch_stage:fetch_st|instr_r_addr_nxt[0]~20'
-        Info: 4: + IC(3.612 ns) + CELL(0.442 ns) = 15.259 ns; Loc. = LC_X29_Y17_N2; Fanout = 2; COMB Node = 'fetch_stage:fetch_st|instr_r_addr_nxt[2]~11'
-        Info: 5: + IC(1.961 ns) + CELL(0.442 ns) = 17.662 ns; Loc. = LC_X35_Y16_N6; Fanout = 1; COMB Node = 'fetch_stage:fetch_st|r_w_ram:instruction_ram|Equal0~1'
-        Info: 6: + IC(1.703 ns) + CELL(0.292 ns) = 19.657 ns; Loc. = LC_X38_Y14_N4; Fanout = 6; COMB Node = 'fetch_stage:fetch_st|r_w_ram:instruction_ram|Equal0~2'
-        Info: 7: + IC(2.149 ns) + CELL(0.309 ns) = 22.115 ns; Loc. = LC_X35_Y19_N2; Fanout = 25; REG Node = 'fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25]'
-        Info: Total cell delay = 3.182 ns ( 14.39 % )
-        Info: Total interconnect delay = 18.933 ns ( 85.61 % )
+Info: tsu for register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero" (data pin = "sys_res", clock pin = "sys_clk") is 18.145 ns
+    Info: + Longest pin to register delay is 21.295 ns
+        Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_42; Fanout = 504; PIN Node = 'sys_res'
+        Info: 2: + IC(9.198 ns) + CELL(0.442 ns) = 11.109 ns; Loc. = LC_X28_Y20_N7; Fanout = 7; COMB Node = 'execute_stage:exec_st|alu:alu_inst|\calc:cond_met~1'
+        Info: 3: + IC(5.851 ns) + CELL(0.590 ns) = 17.550 ns; Loc. = LC_X25_Y16_N7; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|calc~0'
+        Info: 4: + IC(2.878 ns) + CELL(0.867 ns) = 21.295 ns; Loc. = LC_X28_Y18_N6; Fanout = 1; REG Node = 'execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero'
+        Info: Total cell delay = 3.368 ns ( 15.82 % )
+        Info: Total interconnect delay = 17.927 ns ( 84.18 % )
     Info: + Micro setup delay of destination is 0.037 ns
     Info: - Shortest clock path from clock "sys_clk" to destination register is 3.187 ns
-        Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk'
-        Info: 2: + IC(1.007 ns) + CELL(0.711 ns) = 3.187 ns; Loc. = LC_X35_Y19_N2; Fanout = 25; REG Node = 'fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25]'
+        Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 1098; CLK Node = 'sys_clk'
+        Info: 2: + IC(1.007 ns) + CELL(0.711 ns) = 3.187 ns; Loc. = LC_X28_Y18_N6; Fanout = 1; REG Node = 'execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero'
         Info: Total cell delay = 2.180 ns ( 68.40 % )
         Info: Total interconnect delay = 1.007 ns ( 31.60 % )
-Info: tco from clock "sys_clk" to destination pin "bus_tx" through register "writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int" is 10.165 ns
+Info: tco from clock "sys_clk" to destination pin "bus_tx" through register "writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int" is 10.677 ns
     Info: + Longest clock path from clock "sys_clk" to source register is 3.111 ns
-        Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk'
-        Info: 2: + IC(0.931 ns) + CELL(0.711 ns) = 3.111 ns; Loc. = LC_X32_Y9_N7; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int'
+        Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 1098; CLK Node = 'sys_clk'
+        Info: 2: + IC(0.931 ns) + CELL(0.711 ns) = 3.111 ns; Loc. = LC_X27_Y8_N4; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int'
         Info: Total cell delay = 2.180 ns ( 70.07 % )
         Info: Total interconnect delay = 0.931 ns ( 29.93 % )
     Info: + Micro clock to output delay of source is 0.224 ns
-    Info: + Longest register to pin delay is 6.830 ns
-        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X32_Y9_N7; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int'
-        Info: 2: + IC(4.706 ns) + CELL(2.124 ns) = 6.830 ns; Loc. = PIN_166; Fanout = 0; PIN Node = 'bus_tx'
-        Info: Total cell delay = 2.124 ns ( 31.10 % )
-        Info: Total interconnect delay = 4.706 ns ( 68.90 % )
-Info: th for register "fetch_stage:fetch_st|instr_r_addr[4]" (data pin = "sys_res", clock pin = "sys_clk") is -8.849 ns
-    Info: + Longest clock path from clock "sys_clk" to destination register is 3.178 ns
-        Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 357; CLK Node = 'sys_clk'
-        Info: 2: + IC(0.998 ns) + CELL(0.711 ns) = 3.178 ns; Loc. = LC_X39_Y14_N4; Fanout = 3; REG Node = 'fetch_stage:fetch_st|instr_r_addr[4]'
-        Info: Total cell delay = 2.180 ns ( 68.60 % )
-        Info: Total interconnect delay = 0.998 ns ( 31.40 % )
+    Info: + Longest register to pin delay is 7.342 ns
+        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC_X27_Y8_N4; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int'
+        Info: 2: + IC(5.218 ns) + CELL(2.124 ns) = 7.342 ns; Loc. = PIN_166; Fanout = 0; PIN Node = 'bus_tx'
+        Info: Total cell delay = 2.124 ns ( 28.93 % )
+        Info: Total interconnect delay = 5.218 ns ( 71.07 % )
+Info: th for register "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|sync[1]" (data pin = "bus_rx", clock pin = "sys_clk") is -5.628 ns
+    Info: + Longest clock path from clock "sys_clk" to destination register is 3.246 ns
+        Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_152; Fanout = 1098; CLK Node = 'sys_clk'
+        Info: 2: + IC(1.066 ns) + CELL(0.711 ns) = 3.246 ns; Loc. = LC_X22_Y18_N3; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|sync[1]'
+        Info: Total cell delay = 2.180 ns ( 67.16 % )
+        Info: Total interconnect delay = 1.066 ns ( 32.84 % )
     Info: + Micro hold delay of destination is 0.015 ns
-    Info: - Shortest pin to register delay is 12.042 ns
-        Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_42; Fanout = 205; PIN Node = 'sys_res'
-        Info: 2: + IC(9.029 ns) + CELL(0.114 ns) = 10.612 ns; Loc. = LC_X38_Y14_N6; Fanout = 10; COMB Node = 'fetch_stage:fetch_st|instr_r_addr_nxt[6]~3'
-        Info: 3: + IC(0.482 ns) + CELL(0.114 ns) = 11.208 ns; Loc. = LC_X38_Y14_N0; Fanout = 2; COMB Node = 'fetch_stage:fetch_st|instr_r_addr_nxt[4]~14'
-        Info: 4: + IC(0.719 ns) + CELL(0.115 ns) = 12.042 ns; Loc. = LC_X39_Y14_N4; Fanout = 3; REG Node = 'fetch_stage:fetch_st|instr_r_addr[4]'
-        Info: Total cell delay = 1.812 ns ( 15.05 % )
-        Info: Total interconnect delay = 10.230 ns ( 84.95 % )
+    Info: - Shortest pin to register delay is 8.889 ns
+        Info: 1: + IC(0.000 ns) + CELL(1.469 ns) = 1.469 ns; Loc. = PIN_17; Fanout = 1; PIN Node = 'bus_rx'
+        Info: 2: + IC(7.111 ns) + CELL(0.309 ns) = 8.889 ns; Loc. = LC_X22_Y18_N3; Fanout = 1; REG Node = 'writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|sync[1]'
+        Info: Total cell delay = 1.778 ns ( 20.00 % )
+        Info: Total interconnect delay = 7.111 ns ( 80.00 % )
 Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 2 warnings
-    Info: Peak virtual memory: 189 megabytes
-    Info: Processing ended: Fri Dec 17 12:27:19 2010
+    Info: Peak virtual memory: 192 megabytes
+    Info: Processing ended: Sun Dec 19 20:36:51 2010
     Info: Elapsed time: 00:00:01
     Info: Total CPU time (on all processors): 00:00:01