spartan3e: at least it compiles
[calu.git] / cpu / src / writeback_stage_b.vhd
index 7b57d4565c89592569fb72f709353497718d054d..fd26a297b8e5727e9f5c2d975c600cb9b35115fd 100755 (executable)
@@ -34,6 +34,22 @@ begin
        ext_timer_out <= (others => '0'); --TODO: delete when timer is connected
        ext_gpmp_out <= (others => '0'); --TODO: delete when gpm is connected
 
+       spartan3e: if FPGATYPE = "s3e" generate
+               data_ram : ram_xilinx
+               generic map (
+                       DATA_ADDR_WIDTH
+               )
+               port map (
+                       clk,
+                       data_addr(DATA_ADDR_WIDTH+1 downto 2),
+                       wb_reg_nxt.byte_en,
+                       dmem_we,
+                       wb_reg_nxt.data, --ram_data,
+                       data_ram_read
+               );
+       end generate;
+       -- else generate gibt es erst mit vhdl 2008 ...
+       altera: if FPGATYPE /= "s3e" generate
        data_ram : r_w_ram_be
                generic map (
                        DATA_ADDR_WIDTH
@@ -48,6 +64,7 @@ begin
                        wb_reg_nxt.data, --ram_data,
                        data_ram_read
                );
+       end generate;
 
 uart : extension_uart 
        generic map(
@@ -77,6 +94,7 @@ imp : extension_imp
                        new_im_data_out
                );
        
+       altera_7seg: if FPGATYPE /= "s3e" generate
 sseg : extension_7seg
        generic map(
                RESET_VALUE
@@ -90,6 +108,7 @@ sseg : extension_7seg
                sseg2,
                sseg3
                );
+       end generate;
 
 interrupt : extension_interrupt
        generic map(