default baudrate setting now in top level entity
[calu.git] / cpu / src / writeback_stage_b.vhd
index a031207123e2836ec47551455ac1df7391623ae4..78a17a451984710d71b39cd511b66c135d9e9903 100755 (executable)
@@ -69,7 +69,8 @@ begin
 
 uart : extension_uart 
        generic map(
-               RESET_VALUE
+               RESET_VALUE,
+               CLK_BAUD
                )
        port map(
                        clk ,