signal ext_uart,ext_timer,ext_gpmp : extmod_rec;
-signal sel_nxt :std_logic;
+signal sel_nxt, dmem_we, bus_rx :std_logic;
clk,
data_addr(DATA_ADDR_WIDTH+1 downto 2),
data_addr(DATA_ADDR_WIDTH+1 downto 2),
- wb_reg_nxt.dmem_write_en,
+ dmem_we,
ram_data,
data_ram_read
);
reset,
ext_uart,
data_ram_read_ext,
+ bus_rx,
bus_tx
);
wb_reg.dmem_write_en <= '0';
wb_reg.hword <= '0';
wb_reg.byte_s <= '0';
+ bus_rx <= '1';
elsif rising_edge(clk) then
wb_reg <= wb_reg_nxt;
+ bus_rx <= '1';
end if;
end process;
reg_addr <= result_addr;
data_addr <= (others => '0');
+ dmem_we <= '0';
- if (wb_reg_nxt.address(DATA_ADDR_WIDTH+2) = '1') then
+ if (wb_reg_nxt.address(DATA_ADDR_WIDTH+2) /= '1') then
data_addr(DATA_ADDR_WIDTH+1 downto 0) <= wb_reg_nxt.address(DATA_ADDR_WIDTH+1 downto 0);
+ dmem_we <= wb_reg_nxt.dmem_write_en;
end if;
end process;
addr_de_mult: process(wb_reg_nxt.address, ram_data, wb_reg,sel_nxt,wb_reg_nxt.dmem_write_en)
begin
- ext_uart.sel <='0';
+ ext_uart.sel <='0';
ext_uart.wr_en <= wb_reg_nxt.dmem_write_en;
ext_uart.byte_en <= (others => '0');
ext_uart.data <= (others => '0');
ext_gpmp.byte_en <= (others => '0');
ext_gpmp.data <= (others => '0');
ext_gpmp.addr <= (others => '0');
- -- wenn ich hier statt dem 4rer die konstante nehme dann gibts an fehler wegen nicht lokaler variable -.-
- case wb_reg_nxt.address(wb_reg_nxt.address'high downto 4) is
+ -- wenn ich hier statt dem 4rer die konstante nehme dann gibts an fehler wegen nicht lokaler variable -.-
+ case wb_reg_nxt.address(31 downto 4) is
when EXT_UART_ADDR =>
ext_uart.sel <='1';
ext_timer.wr_en <= wb_reg_nxt.dmem_write_en;
ext_uart.data <= ram_data;
- ext_uart.addr <= wb_reg_nxt.address(wb_reg_nxt.address'high downto BYTEADDR);
+ ext_uart.addr <= wb_reg_nxt.address(31 downto 2);
case wb_reg.address(1 downto 0) is
when "00" => ext_uart.byte_en <= "0001";
when "01" => ext_uart.byte_en <= "0010";
when "11" => ext_uart.byte_en <= "1111";
when others => null;
end case;
+
+
when EXT_TIMER_ADDR =>
ext_timer.sel <='1';
ext_timer.wr_en <= wb_reg_nxt.dmem_write_en;