signal reg_w_addr_pin : std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
signal reg_wr_data_pin : gp_register_t;
signal reg_we_pin : std_logic;
- signal reg1_rd_data_pin : gp_register_t;
- signal reg2_rd_data_pin : gp_register_t;
-
+ signal to_next_stage_pin : dec_op;
begin
reg_we => reg_we_pin, --: in std_logic;
--Data outputs
- reg1_rd_data => reg1_rd_data_pin, --: gp_register_t;
- reg2_rd_data => reg2_rd_data_pin, --: gp_register_t;
branch_prediction_res => prediction_result_pin, --: instruction_word_t;
- branch_prediction_bit => branch_prediction_bit_pin --: std_logic
+ branch_prediction_bit => branch_prediction_bit_pin, --: std_logic
+ to_next_stage => to_next_stage_pin
);