architecture behav of extension_uart is
signal w1_st_co, w1_st_co_nxt, w2_uart_config, w2_uart_config_nxt, w3_uart_send, w3_uart_send_nxt, w4_uart_receive, w4_uart_receive_nxt : gp_register_t;
-signal new_wb_data, new_wb_data_nxt, new_tx_data, new_tx_data_nxt, tx_rdy, tx_rdy_int : std_logic;
+signal new_bus_rx,new_wb_data, new_wb_data_nxt, new_tx_data, new_tx_data_nxt, tx_rdy, tx_rdy_int : std_logic;
signal bd_rate : baud_rate_l;
-
+signal rx_data : std_logic_vector(7 downto 0);
begin
bus_rx,
--From/to sendlogic
- w1_st_co(17),
- w4_uart_receive(byte_t'range)
-
+ new_bus_rx,
+ rx_data
);
w2_uart_config <= (others=>'0');
w3_uart_send <= (others=>'0');
w4_uart_receive <= (others=>'0');
-
+ tx_rdy_int <= '0';
+ new_tx_data <= '0';
elsif rising_edge(clk) then
w1_st_co <= w1_st_co_nxt;