return added
[calu.git] / cpu / src / extension_b.vhd
index 46decaf833ef9e78c51a09787f9bfae85984be2f..8ccd6ea2c8edfaed929164d42285c1947d63ecbb 100644 (file)
@@ -34,11 +34,12 @@ end process syn;
 asyn : process (clk, reset, reg, psw_nxt, ext_reg, pwr_en, pinc, paddr)
        variable reg_nxt_v : gpm_internal;
        variable incb : ext_addr_t;
-       variable sel_pval : ext_addr_t;
+       variable sel_pval, sel_pval_nxt : ext_addr_t;
        
        variable data_out_v : gp_register_t;
        variable data_v : gp_register_t;
        variable tmp_data  : gp_register_t;
+       
 begin
        reg_nxt_v := reg;
        data_v  := ext_reg.data;
@@ -99,9 +100,9 @@ begin
        end if;
 
        sel_pval:= reg_nxt_v.preg(to_integer(unsigned(paddr)));
-       
+       sel_pval_nxt := std_logic_vector(unsigned(sel_pval)+unsigned(incb));
        if pwr_en = '1' then
-               reg_nxt_v.preg(to_integer(unsigned(paddr))) := std_logic_vector(unsigned(sel_pval)+unsigned(incb));
+               reg_nxt_v.preg(to_integer(unsigned(paddr))) := sel_pval_nxt;
        end if;
 
        reg_nxt_v.status := psw_nxt;
@@ -111,6 +112,8 @@ begin
        
        pval <= (others =>'0');
        pval(pval'high downto BYTEADDR) <= sel_pval;
+       pval_nxt <= (others =>'0');
+       pval_nxt(pval'high downto BYTEADDR) <= sel_pval_nxt;
 end process asyn;
 
 end behav;