removed 7seg from DT
[calu.git] / cpu / src / core_pkg.vhd
index bc45ecdf0aa408c577e33eb6976bba783572be13..bd13a9c1ac4445c9a12a1c574215f47478afdbf5 100644 (file)
@@ -20,6 +20,7 @@ package core_pkg is
                --System inputs
                        clk : in std_logic;
                        reset : in std_logic;
+                       s_reset : in std_logic;
                
                --Data inputs
                        jump_result : in instruction_addr_t;
@@ -65,7 +66,7 @@ package core_pkg is
                --Data outputs
 --                     reg1_rd_data : out gp_register_t;
 --                     reg2_rd_data : out gp_register_t;
-                       branch_prediction_res : out instruction_word_t;
+                       branch_prediction_res : out instruction_addr_t;
                        branch_prediction_bit : out std_logic;
 
                        to_next_stage : out dec_op
@@ -126,7 +127,8 @@ package core_pkg is
                        RESET_VALUE : std_logic;
                        -- active logic value
                        LOGIC_ACT : std_logic;
-                       FPGATYPE : string
+                       FPGATYPE : string;
+                       CLK_BAUD : integer
                        );
        port(
                --System inputs
@@ -157,10 +159,10 @@ package core_pkg is
                        im_addr : out gp_register_t;
                        im_data : out gp_register_t;
                        
-                       sseg0 : out std_logic_vector(0 to 6);
-                       sseg1 : out std_logic_vector(0 to 6);
-                       sseg2 : out std_logic_vector(0 to 6);
-                       sseg3 : out std_logic_vector(0 to 6);
+                       --sseg0 : out std_logic_vector(0 to 6);
+                       --sseg1 : out std_logic_vector(0 to 6);
+                       --sseg2 : out std_logic_vector(0 to 6);
+                       --sseg3 : out std_logic_vector(0 to 6);
 
                        int_req : out interrupt_t