im_addr : out gp_register_t;
im_data : out gp_register_t;
- sseg0 : out std_logic_vector(0 to 6);
- sseg1 : out std_logic_vector(0 to 6);
- sseg2 : out std_logic_vector(0 to 6);
- sseg3 : out std_logic_vector(0 to 6);
+ --sseg0 : out std_logic_vector(0 to 6);
+ --sseg1 : out std_logic_vector(0 to 6);
+ --sseg2 : out std_logic_vector(0 to 6);
+ --sseg3 : out std_logic_vector(0 to 6);
int_req : out interrupt_t
-- uart
bus_tx : out std_logic;
bus_rx : in std_logic;
- led2 : out std_logic;
+ led2 : out std_logic
- sseg0 : out std_logic_vector(0 to 6);
- sseg1 : out std_logic_vector(0 to 6);
- sseg2 : out std_logic_vector(0 to 6);
- sseg3 : out std_logic_vector(0 to 6)
+ --sseg0 : out std_logic_vector(0 to 6);
+ --sseg1 : out std_logic_vector(0 to 6);
+ --sseg2 : out std_logic_vector(0 to 6);
+ --sseg3 : out std_logic_vector(0 to 6)
);
end core_top;
reg_wr_data_pin, reg_we_pin, reg_w_addr_pin, jump_result_pin, alu_jump_bit_pin,bus_tx, bus_rx,
-- instruction memory program port :D
new_im_data, im_addr, im_data,
- sseg0, sseg1, sseg2, sseg3, int_req);
+ --sseg0, sseg1, sseg2, sseg3,
+ int_req);
syn: process(sys_clk, sys_res, soft_res)
im_addr : out gp_register_t;
im_data : out gp_register_t;
- sseg0 : out std_logic_vector(0 to 6);
- sseg1 : out std_logic_vector(0 to 6);
- sseg2 : out std_logic_vector(0 to 6);
- sseg3 : out std_logic_vector(0 to 6);
+ --sseg0 : out std_logic_vector(0 to 6);
+ --sseg1 : out std_logic_vector(0 to 6);
+ --sseg2 : out std_logic_vector(0 to 6);
+ --sseg3 : out std_logic_vector(0 to 6);
int_req : out interrupt_t
new_im_data_out
);
+ rem7seg: if "a" /= "a" generate
+
altera_7seg: if FPGATYPE /= "s3e" generate
sseg : extension_7seg
generic map(
port map(
clk,
reset,
- ext_7seg,
- sseg0,
- sseg1,
- sseg2,
- sseg3
+ --ext_7seg,
+ ext_7seg
+ --sseg0,
+ --sseg1,
+ --sseg2,
+ --sseg3
);
end generate;
+ end generate;
interrupt : extension_interrupt
generic map(
db/
incremental_db
work/
-dt.asm.rpt
-dt.done
-dt.dpf
-dt.fit.rpt
-dt.fit.summary
-dt.flow.rpt
-dt.map.rpt
-dt.map.summary
-dt.pin
-dt.pof
-dt.rbf
-dt.sof
+*.done
+*.dpf
+*.pin
+*.pof
+*.rbf
+*.sof
dt.*.rpt
dt.*.summary
output_file.pof
#
# Quartus II
# Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
-# Date created = 15:08:54 December 16, 2010
+# Date created = 12:37:50 January 18, 2011
#
# -------------------------------------------------------------------------- #
QUARTUS_VERSION = "10.0"
-DATE = "15:08:54 December 16, 2010"
+DATE = "12:37:50 January 18, 2011"
# Revisions
+PROJECT_REVISION = "DSE"
PROJECT_REVISION = "dt"