removed 7seg from DT
[calu.git] / cpu / src / core_pkg.vhd
index 8f24a135ef667ddd6388388fc5608c4f3d16ca7a..bd13a9c1ac4445c9a12a1c574215f47478afdbf5 100644 (file)
@@ -159,10 +159,10 @@ package core_pkg is
                        im_addr : out gp_register_t;
                        im_data : out gp_register_t;
                        
                        im_addr : out gp_register_t;
                        im_data : out gp_register_t;
                        
-                       sseg0 : out std_logic_vector(0 to 6);
-                       sseg1 : out std_logic_vector(0 to 6);
-                       sseg2 : out std_logic_vector(0 to 6);
-                       sseg3 : out std_logic_vector(0 to 6);
+                       --sseg0 : out std_logic_vector(0 to 6);
+                       --sseg1 : out std_logic_vector(0 to 6);
+                       --sseg2 : out std_logic_vector(0 to 6);
+                       --sseg3 : out std_logic_vector(0 to 6);
 
                        int_req : out interrupt_t
 
 
                        int_req : out interrupt_t