subtype word_t is std_logic_vector(WORD_WIDTH-1 downto 0);
subtype gp_register_t is word_t;
-
+
+ subtype byte_en_t is std_logic_vector((gp_register_t'length/byte_t'length-1) downto 0);
constant REG_ZERO : gp_register_t := (others => '0');
dmem_write_en : std_logic; --ureg
hword : std_logic; --ureg
byte_s : std_logic;
+ byte_en : byte_en_t;
+ data : gp_register_t;
end record;
type exec2wb_rec is record