immediate : std_logic_vector(WORD_WIDTH-1 downto 0);
+ displacement : gp_register_t;
+
jmptype : std_logic_vector(1 downto 0);
high_low, fill, signext, bp: std_logic;
op_group : op_info_t;
op_detail : op_opt_t;
brpr : std_logic;
+
+ displacement : gp_register_t;
src1 : gp_register_t;
src2 : gp_register_t;