stw alu
[calu.git] / cpu / src / alu_pkg.vhd
index 13285d9eb3e461f2463018c83a39a030f6ff986d..7e1562d5d161da0331bb8daccf0b20090f64b9c3 100755 (executable)
@@ -84,11 +84,10 @@ package alu_pkg is
                        op_group : in op_info_t;
                        left_operand : in gp_register_t;
                        right_operand : in gp_register_t;
+                        displacement : in gp_register_t;
                        op_detail : in op_opt_t;
                        alu_state  : in alu_result_rec;
-                       alu_result : out alu_result_rec;
-                        addr : out gp_register_t;
-                        data : out gp_register_t
+                       alu_result : out alu_result_rec
                );
         end component alu;