timer: added as extension modul
[calu.git] / cpu / sim / testcore1.do
index 885a80f38a8ab1f38299e29f8cf5fc92824427fa..07def81a9009c77c72bd2fa99fb4f176eba568bb 100644 (file)
@@ -5,6 +5,8 @@ vcom -work work ../src/mem_pkg.vhd
 vcom -work work ../src/rom.vhd
 vcom -work work ../src/rom_b.vhd
 vcom -work work ../src/r_w_ram.vhd
+vcom -work work ../src/r_w_ram_be.vhd
+vcom -work work ../src/r_w_ram_be_b.vhd
 vcom -work work ../src/r_w_ram_b.vhd
 vcom -work work ../src/r2_w_ram.vhd
 vcom -work work ../src/r2_w_ram_b.vhd
@@ -47,6 +49,10 @@ vcom -work work ../src/extension_7seg_pkg.vhd
 vcom -work work ../src/extension_7seg.vhd
 vcom -work work ../src/extension_7seg_b.vhd
 
+vcom -work work ../src/extension_timer_pkg.vhd
+vcom -work work ../src/extension_timer.vhd
+vcom -work work ../src/extension_timer_b.vhd
+
 vcom -work work ../src/extension_uart_pkg.vhd
 vcom -work work ../src/rs232_tx.vhd
 vcom -work work ../src/rs232_tx_arc.vhd
@@ -131,6 +137,22 @@ add wave  -group writebackstageregister -radix hexadecimal /pipeline_tb/writebac
 add wave  -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/reg_addr
 add wave  -group writebackstageregister -radix hexadecimal /pipeline_tb/writeback_st/regfile_val
 
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/bus_rx
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/bus_tx
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w1_st_co
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w1_st_co_nxt
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w2_uart_config
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w3_uart_send
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/w4_uart_receive
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/uart_data_read_nxt
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/ext_reg.sel
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/uart/rs232_rx_inst/rx_data_nxt
+
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/timer/w1_st_co
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/timer/w1_st_co_nxt
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/timer/w2_im_val
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/timer/w2_im_val_nxt
+
 add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/reg_we
 add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/write_en
 add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg.dmem_en
@@ -138,6 +160,7 @@ add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg.dmem_w
 add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/ext_anysel
 add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/alu_jmp
 add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/wb_reg.address
+add wave  -group test -radix hexadecimal /pipeline_tb/writeback_st/data_ram_read
+add wave  -group test -radix hexadecimal /pipeline_tb/exec_st/dmem_write_en
 
-
-run 5000 ns
+run 100000 ns