instruction memory progammer: is in and works in simulations
[calu.git] / transcript
1 pwd
2 # /home/stefan/processor/calu
3 cd cpu/sim
4 # reading modelsim.ini
5 ls
6 # modelsim.ini
7 # testcore1.do
8 # testcore.do
9 # transcript
10 # vsim.wlf
11 # wave.do
12 # work
13 do testcore.do
14 # ** Warning: (vlib-34) Library already exists at "work".
15 # Modifying modelsim.ini
16 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
17 # -- Loading package standard
18 # -- Loading package std_logic_1164
19 # -- Loading package numeric_std
20 # -- Compiling package mem_pkg
21 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
22 # -- Loading package standard
23 # -- Loading package std_logic_1164
24 # -- Loading package numeric_std
25 # -- Compiling entity r_w_ram
26 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
27 # -- Loading package standard
28 # -- Loading package std_logic_1164
29 # -- Loading package numeric_std
30 # -- Loading package mem_pkg
31 # -- Compiling architecture behaviour of r_w_ram
32 # -- Loading entity r_w_ram
33 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
34 # -- Loading package standard
35 # -- Loading package std_logic_1164
36 # -- Loading package numeric_std
37 # -- Compiling entity r_w_ram_be
38 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
39 # -- Loading package standard
40 # -- Loading package std_logic_1164
41 # -- Loading package numeric_std
42 # -- Loading package mem_pkg
43 # -- Compiling architecture behaviour of r_w_ram_be
44 # -- Loading entity r_w_ram_be
45 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
46 # -- Loading package standard
47 # -- Loading package std_logic_1164
48 # -- Loading package numeric_std
49 # -- Loading package mem_pkg
50 # -- Compiling entity r2_w_ram
51 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
52 # -- Loading package standard
53 # -- Loading package std_logic_1164
54 # -- Loading package numeric_std
55 # -- Loading package mem_pkg
56 # -- Compiling architecture behaviour of r2_w_ram
57 # -- Loading entity r2_w_ram
58 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
59 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
60 # -- Loading package standard
61 # -- Loading package std_logic_1164
62 # -- Loading package numeric_std
63 # -- Compiling entity rom
64 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
65 # -- Loading package standard
66 # -- Loading package std_logic_1164
67 # -- Loading package numeric_std
68 # -- Loading package mem_pkg
69 # -- Compiling architecture behaviour of rom
70 # -- Loading entity rom
71 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
72 # -- Loading package standard
73 # -- Loading package std_logic_1164
74 # -- Loading package numeric_std
75 # -- Compiling package common_pkg
76 # -- Compiling package body common_pkg
77 # -- Loading package common_pkg
78 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
79 # -- Loading package standard
80 # -- Loading package std_logic_1164
81 # -- Loading package numeric_std
82 # -- Loading package common_pkg
83 # -- Compiling package extension_pkg
84 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
85 # -- Loading package standard
86 # -- Loading package std_logic_1164
87 # -- Loading package numeric_std
88 # -- Loading package common_pkg
89 # -- Loading package extension_pkg
90 # -- Compiling package core_pkg
91 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
92 # -- Loading package standard
93 # -- Loading package std_logic_1164
94 # -- Loading package numeric_std
95 # -- Loading package common_pkg
96 # -- Loading package extension_pkg
97 # -- Compiling package extension_uart_pkg
98 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
99 # -- Loading package standard
100 # -- Loading package std_logic_1164
101 # -- Loading package numeric_std
102 # -- Loading package common_pkg
103 # -- Loading package extension_pkg
104 # -- Loading package extension_uart_pkg
105 # -- Compiling entity extension_uart
106 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
107 # -- Loading package standard
108 # -- Loading package std_logic_1164
109 # -- Loading package numeric_std
110 # -- Loading package common_pkg
111 # -- Loading package extension_pkg
112 # -- Loading package core_pkg
113 # -- Loading package mem_pkg
114 # -- Loading package extension_uart_pkg
115 # -- Compiling architecture behav of extension_uart
116 # -- Loading entity extension_uart
117 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
118 # -- Loading package standard
119 # -- Loading package std_logic_1164
120 # -- Loading package numeric_std
121 # -- Loading package common_pkg
122 # -- Loading package extension_pkg
123 # -- Compiling entity extension_interrupt
124 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
125 # -- Loading package standard
126 # -- Loading package std_logic_1164
127 # -- Loading package numeric_std
128 # -- Loading package common_pkg
129 # -- Loading package extension_pkg
130 # -- Compiling architecture behav of extension_interrupt
131 # -- Loading entity extension_interrupt
132 # ** Error: ../src/extension_interrupt_b.vhd(64): (vcom-1136) Unknown identifier "w2_uart_config".
133 # ** Error: ../src/extension_interrupt_b.vhd(64): Expression is not a signal.
134 # ** Error: ../src/extension_interrupt_b.vhd(64): (vcom-1136) Unknown identifier "w3_uart_send".
135 # ** Error: ../src/extension_interrupt_b.vhd(64): Expression is not a signal.
136 # ** Error: ../src/extension_interrupt_b.vhd(64): (vcom-1136) Unknown identifier "w4_uart_receive".
137 # ** Error: ../src/extension_interrupt_b.vhd(64): Expression is not a signal.
138 # ** Error: ../src/extension_interrupt_b.vhd(132): VHDL Compiler exiting
139 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
140 # Error in macro ./testcore.do line 20
141 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
142 #     while executing
143 # "vcom -work work ../src/extension_interrupt_b.vhd"
144 do testcore.do
145 # ** Warning: (vlib-34) Library already exists at "work".
146 # Modifying modelsim.ini
147 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
148 # -- Loading package standard
149 # -- Loading package std_logic_1164
150 # -- Loading package numeric_std
151 # -- Compiling package mem_pkg
152 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
153 # -- Loading package standard
154 # -- Loading package std_logic_1164
155 # -- Loading package numeric_std
156 # -- Compiling entity r_w_ram
157 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
158 # -- Loading package standard
159 # -- Loading package std_logic_1164
160 # -- Loading package numeric_std
161 # -- Loading package mem_pkg
162 # -- Compiling architecture behaviour of r_w_ram
163 # -- Loading entity r_w_ram
164 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
165 # -- Loading package standard
166 # -- Loading package std_logic_1164
167 # -- Loading package numeric_std
168 # -- Compiling entity r_w_ram_be
169 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
170 # -- Loading package standard
171 # -- Loading package std_logic_1164
172 # -- Loading package numeric_std
173 # -- Loading package mem_pkg
174 # -- Compiling architecture behaviour of r_w_ram_be
175 # -- Loading entity r_w_ram_be
176 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
177 # -- Loading package standard
178 # -- Loading package std_logic_1164
179 # -- Loading package numeric_std
180 # -- Loading package mem_pkg
181 # -- Compiling entity r2_w_ram
182 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
183 # -- Loading package standard
184 # -- Loading package std_logic_1164
185 # -- Loading package numeric_std
186 # -- Loading package mem_pkg
187 # -- Compiling architecture behaviour of r2_w_ram
188 # -- Loading entity r2_w_ram
189 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
190 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
191 # -- Loading package standard
192 # -- Loading package std_logic_1164
193 # -- Loading package numeric_std
194 # -- Compiling entity rom
195 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
196 # -- Loading package standard
197 # -- Loading package std_logic_1164
198 # -- Loading package numeric_std
199 # -- Loading package mem_pkg
200 # -- Compiling architecture behaviour of rom
201 # -- Loading entity rom
202 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
203 # -- Loading package standard
204 # -- Loading package std_logic_1164
205 # -- Loading package numeric_std
206 # -- Compiling package common_pkg
207 # -- Compiling package body common_pkg
208 # -- Loading package common_pkg
209 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
210 # -- Loading package standard
211 # -- Loading package std_logic_1164
212 # -- Loading package numeric_std
213 # -- Loading package common_pkg
214 # -- Compiling package extension_pkg
215 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
216 # -- Loading package standard
217 # -- Loading package std_logic_1164
218 # -- Loading package numeric_std
219 # -- Loading package common_pkg
220 # -- Loading package extension_pkg
221 # -- Compiling package core_pkg
222 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
223 # -- Loading package standard
224 # -- Loading package std_logic_1164
225 # -- Loading package numeric_std
226 # -- Loading package common_pkg
227 # -- Loading package extension_pkg
228 # -- Compiling package extension_uart_pkg
229 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
230 # -- Loading package standard
231 # -- Loading package std_logic_1164
232 # -- Loading package numeric_std
233 # -- Loading package common_pkg
234 # -- Loading package extension_pkg
235 # -- Loading package extension_uart_pkg
236 # -- Compiling entity extension_uart
237 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
238 # -- Loading package standard
239 # -- Loading package std_logic_1164
240 # -- Loading package numeric_std
241 # -- Loading package common_pkg
242 # -- Loading package extension_pkg
243 # -- Loading package core_pkg
244 # -- Loading package mem_pkg
245 # -- Loading package extension_uart_pkg
246 # -- Compiling architecture behav of extension_uart
247 # -- Loading entity extension_uart
248 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
249 # -- Loading package standard
250 # -- Loading package std_logic_1164
251 # -- Loading package numeric_std
252 # -- Loading package common_pkg
253 # -- Loading package extension_pkg
254 # -- Compiling entity extension_interrupt
255 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
256 # -- Loading package standard
257 # -- Loading package std_logic_1164
258 # -- Loading package numeric_std
259 # -- Loading package common_pkg
260 # -- Loading package extension_pkg
261 # -- Compiling architecture behav of extension_interrupt
262 # -- Loading entity extension_interrupt
263 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
264 # -- Loading package standard
265 # -- Loading package std_logic_1164
266 # -- Loading package numeric_std
267 # -- Loading package common_pkg
268 # -- Loading package extension_pkg
269 # -- Compiling package extension_7seg_pkg
270 # -- Compiling package body extension_7seg_pkg
271 # -- Loading package extension_7seg_pkg
272 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
273 # -- Loading package standard
274 # -- Loading package std_logic_1164
275 # -- Loading package numeric_std
276 # -- Loading package common_pkg
277 # -- Loading package extension_pkg
278 # -- Loading package extension_7seg_pkg
279 # -- Compiling entity extension_7seg
280 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
281 # -- Loading package standard
282 # -- Loading package std_logic_1164
283 # -- Loading package numeric_std
284 # -- Loading package common_pkg
285 # -- Loading package extension_pkg
286 # -- Loading package core_pkg
287 # -- Loading package mem_pkg
288 # -- Loading package extension_7seg_pkg
289 # -- Compiling architecture behav of extension_7seg
290 # -- Loading entity extension_7seg
291 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
292 # -- Loading package standard
293 # -- Loading package std_logic_1164
294 # -- Loading package std_logic_arith
295 # -- Loading package std_logic_unsigned
296 # -- Loading package numeric_std
297 # -- Loading package common_pkg
298 # -- Loading package extension_pkg
299 # -- Loading package core_pkg
300 # -- Loading package extension_uart_pkg
301 # -- Compiling entity rs232_tx
302 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
303 # -- Loading package standard
304 # -- Loading package std_logic_1164
305 # -- Loading package numeric_std
306 # -- Loading package common_pkg
307 # -- Loading package extension_pkg
308 # -- Loading package core_pkg
309 # -- Loading package extension_uart_pkg
310 # -- Compiling architecture beh of rs232_tx
311 # -- Loading package std_logic_arith
312 # -- Loading package std_logic_unsigned
313 # -- Loading entity rs232_tx
314 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
315 # -- Loading package standard
316 # -- Loading package std_logic_1164
317 # -- Loading package std_logic_arith
318 # -- Loading package std_logic_unsigned
319 # -- Loading package numeric_std
320 # -- Loading package common_pkg
321 # -- Loading package extension_pkg
322 # -- Loading package core_pkg
323 # -- Loading package extension_uart_pkg
324 # -- Compiling entity rs232_rx
325 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
326 # -- Loading package standard
327 # -- Loading package std_logic_1164
328 # -- Loading package numeric_std
329 # -- Loading package common_pkg
330 # -- Loading package extension_pkg
331 # -- Loading package extension_uart_pkg
332 # -- Loading package core_pkg
333 # -- Compiling architecture beh of rs232_rx
334 # -- Loading package std_logic_arith
335 # -- Loading package std_logic_unsigned
336 # -- Loading entity rs232_rx
337 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
338 # -- Loading package standard
339 # -- Loading package std_logic_1164
340 # -- Loading package numeric_std
341 # -- Loading package common_pkg
342 # -- Loading package extension_pkg
343 # -- Loading package core_pkg
344 # -- Compiling entity decoder
345 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
346 # -- Loading package standard
347 # -- Loading package std_logic_1164
348 # -- Loading package numeric_std
349 # -- Loading package mem_pkg
350 # -- Loading package common_pkg
351 # -- Loading package extension_pkg
352 # -- Loading package core_pkg
353 # -- Compiling architecture behav_d of decoder
354 # -- Loading entity decoder
355 # ** Error: ../src/decoder_b.vhd(35): (vcom-1312) Unknown record element "int" for selected name prefix "instr_s" of record type "work.common_pkg.instruction_rec".
356 # ** Error: ../src/decoder_b.vhd(358): (vcom-1312) Unknown record element "int" for selected name prefix "instr_s" of record type "work.common_pkg.instruction_rec".
357 # ** Error: ../src/decoder_b.vhd(437): VHDL Compiler exiting
358 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
359 # Error in macro ./testcore.do line 30
360 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
361 #     while executing
362 # "vcom -work work ../src/decoder_b.vhd"
363 do testcore.do
364 # ** Warning: (vlib-34) Library already exists at "work".
365 # Modifying modelsim.ini
366 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
367 # -- Loading package standard
368 # -- Loading package std_logic_1164
369 # -- Loading package numeric_std
370 # -- Compiling package mem_pkg
371 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
372 # -- Loading package standard
373 # -- Loading package std_logic_1164
374 # -- Loading package numeric_std
375 # -- Compiling entity r_w_ram
376 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
377 # -- Loading package standard
378 # -- Loading package std_logic_1164
379 # -- Loading package numeric_std
380 # -- Loading package mem_pkg
381 # -- Compiling architecture behaviour of r_w_ram
382 # -- Loading entity r_w_ram
383 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
384 # -- Loading package standard
385 # -- Loading package std_logic_1164
386 # -- Loading package numeric_std
387 # -- Compiling entity r_w_ram_be
388 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
389 # -- Loading package standard
390 # -- Loading package std_logic_1164
391 # -- Loading package numeric_std
392 # -- Loading package mem_pkg
393 # -- Compiling architecture behaviour of r_w_ram_be
394 # -- Loading entity r_w_ram_be
395 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
396 # -- Loading package standard
397 # -- Loading package std_logic_1164
398 # -- Loading package numeric_std
399 # -- Loading package mem_pkg
400 # -- Compiling entity r2_w_ram
401 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
402 # -- Loading package standard
403 # -- Loading package std_logic_1164
404 # -- Loading package numeric_std
405 # -- Loading package mem_pkg
406 # -- Compiling architecture behaviour of r2_w_ram
407 # -- Loading entity r2_w_ram
408 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
409 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
410 # -- Loading package standard
411 # -- Loading package std_logic_1164
412 # -- Loading package numeric_std
413 # -- Compiling entity rom
414 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
415 # -- Loading package standard
416 # -- Loading package std_logic_1164
417 # -- Loading package numeric_std
418 # -- Loading package mem_pkg
419 # -- Compiling architecture behaviour of rom
420 # -- Loading entity rom
421 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
422 # -- Loading package standard
423 # -- Loading package std_logic_1164
424 # -- Loading package numeric_std
425 # -- Compiling package common_pkg
426 # -- Compiling package body common_pkg
427 # -- Loading package common_pkg
428 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
429 # -- Loading package standard
430 # -- Loading package std_logic_1164
431 # -- Loading package numeric_std
432 # -- Loading package common_pkg
433 # -- Compiling package extension_pkg
434 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
435 # -- Loading package standard
436 # -- Loading package std_logic_1164
437 # -- Loading package numeric_std
438 # -- Loading package common_pkg
439 # -- Loading package extension_pkg
440 # -- Compiling package core_pkg
441 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
442 # -- Loading package standard
443 # -- Loading package std_logic_1164
444 # -- Loading package numeric_std
445 # -- Loading package common_pkg
446 # -- Loading package extension_pkg
447 # -- Compiling package extension_uart_pkg
448 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
449 # -- Loading package standard
450 # -- Loading package std_logic_1164
451 # -- Loading package numeric_std
452 # -- Loading package common_pkg
453 # -- Loading package extension_pkg
454 # -- Loading package extension_uart_pkg
455 # -- Compiling entity extension_uart
456 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
457 # -- Loading package standard
458 # -- Loading package std_logic_1164
459 # -- Loading package numeric_std
460 # -- Loading package common_pkg
461 # -- Loading package extension_pkg
462 # -- Loading package core_pkg
463 # -- Loading package mem_pkg
464 # -- Loading package extension_uart_pkg
465 # -- Compiling architecture behav of extension_uart
466 # -- Loading entity extension_uart
467 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
468 # -- Loading package standard
469 # -- Loading package std_logic_1164
470 # -- Loading package numeric_std
471 # -- Loading package common_pkg
472 # -- Loading package extension_pkg
473 # -- Compiling entity extension_interrupt
474 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
475 # -- Loading package standard
476 # -- Loading package std_logic_1164
477 # -- Loading package numeric_std
478 # -- Loading package common_pkg
479 # -- Loading package extension_pkg
480 # -- Compiling architecture behav of extension_interrupt
481 # -- Loading entity extension_interrupt
482 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
483 # -- Loading package standard
484 # -- Loading package std_logic_1164
485 # -- Loading package numeric_std
486 # -- Loading package common_pkg
487 # -- Loading package extension_pkg
488 # -- Compiling package extension_7seg_pkg
489 # -- Compiling package body extension_7seg_pkg
490 # -- Loading package extension_7seg_pkg
491 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
492 # -- Loading package standard
493 # -- Loading package std_logic_1164
494 # -- Loading package numeric_std
495 # -- Loading package common_pkg
496 # -- Loading package extension_pkg
497 # -- Loading package extension_7seg_pkg
498 # -- Compiling entity extension_7seg
499 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
500 # -- Loading package standard
501 # -- Loading package std_logic_1164
502 # -- Loading package numeric_std
503 # -- Loading package common_pkg
504 # -- Loading package extension_pkg
505 # -- Loading package core_pkg
506 # -- Loading package mem_pkg
507 # -- Loading package extension_7seg_pkg
508 # -- Compiling architecture behav of extension_7seg
509 # -- Loading entity extension_7seg
510 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
511 # -- Loading package standard
512 # -- Loading package std_logic_1164
513 # -- Loading package std_logic_arith
514 # -- Loading package std_logic_unsigned
515 # -- Loading package numeric_std
516 # -- Loading package common_pkg
517 # -- Loading package extension_pkg
518 # -- Loading package core_pkg
519 # -- Loading package extension_uart_pkg
520 # -- Compiling entity rs232_tx
521 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
522 # -- Loading package standard
523 # -- Loading package std_logic_1164
524 # -- Loading package numeric_std
525 # -- Loading package common_pkg
526 # -- Loading package extension_pkg
527 # -- Loading package core_pkg
528 # -- Loading package extension_uart_pkg
529 # -- Compiling architecture beh of rs232_tx
530 # -- Loading package std_logic_arith
531 # -- Loading package std_logic_unsigned
532 # -- Loading entity rs232_tx
533 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
534 # -- Loading package standard
535 # -- Loading package std_logic_1164
536 # -- Loading package std_logic_arith
537 # -- Loading package std_logic_unsigned
538 # -- Loading package numeric_std
539 # -- Loading package common_pkg
540 # -- Loading package extension_pkg
541 # -- Loading package core_pkg
542 # -- Loading package extension_uart_pkg
543 # -- Compiling entity rs232_rx
544 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
545 # -- Loading package standard
546 # -- Loading package std_logic_1164
547 # -- Loading package numeric_std
548 # -- Loading package common_pkg
549 # -- Loading package extension_pkg
550 # -- Loading package extension_uart_pkg
551 # -- Loading package core_pkg
552 # -- Compiling architecture beh of rs232_rx
553 # -- Loading package std_logic_arith
554 # -- Loading package std_logic_unsigned
555 # -- Loading entity rs232_rx
556 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
557 # -- Loading package standard
558 # -- Loading package std_logic_1164
559 # -- Loading package numeric_std
560 # -- Loading package common_pkg
561 # -- Loading package extension_pkg
562 # -- Loading package core_pkg
563 # -- Compiling entity decoder
564 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
565 # -- Loading package standard
566 # -- Loading package std_logic_1164
567 # -- Loading package numeric_std
568 # -- Loading package mem_pkg
569 # -- Loading package common_pkg
570 # -- Loading package extension_pkg
571 # -- Loading package core_pkg
572 # -- Compiling architecture behav_d of decoder
573 # -- Loading entity decoder
574 # ** Error: ../src/decoder_b.vhd(35): (vcom-1312) Unknown record element "int" for selected name prefix "instr_s" of record type "work.common_pkg.instruction_rec".
575 # ** Error: ../src/decoder_b.vhd(358): (vcom-1312) Unknown record element "int" for selected name prefix "instr_s" of record type "work.common_pkg.instruction_rec".
576 # ** Error: ../src/decoder_b.vhd(437): VHDL Compiler exiting
577 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
578 # Error in macro ./testcore.do line 30
579 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
580 #     while executing
581 # "vcom -work work ../src/decoder_b.vhd"
582 do testcore.do
583 # ** Warning: (vlib-34) Library already exists at "work".
584 # Modifying modelsim.ini
585 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
586 # -- Loading package standard
587 # -- Loading package std_logic_1164
588 # -- Loading package numeric_std
589 # -- Compiling package mem_pkg
590 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
591 # -- Loading package standard
592 # -- Loading package std_logic_1164
593 # -- Loading package numeric_std
594 # -- Compiling entity r_w_ram
595 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
596 # -- Loading package standard
597 # -- Loading package std_logic_1164
598 # -- Loading package numeric_std
599 # -- Loading package mem_pkg
600 # -- Compiling architecture behaviour of r_w_ram
601 # -- Loading entity r_w_ram
602 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
603 # -- Loading package standard
604 # -- Loading package std_logic_1164
605 # -- Loading package numeric_std
606 # -- Compiling entity r_w_ram_be
607 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
608 # -- Loading package standard
609 # -- Loading package std_logic_1164
610 # -- Loading package numeric_std
611 # -- Loading package mem_pkg
612 # -- Compiling architecture behaviour of r_w_ram_be
613 # -- Loading entity r_w_ram_be
614 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
615 # -- Loading package standard
616 # -- Loading package std_logic_1164
617 # -- Loading package numeric_std
618 # -- Loading package mem_pkg
619 # -- Compiling entity r2_w_ram
620 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
621 # -- Loading package standard
622 # -- Loading package std_logic_1164
623 # -- Loading package numeric_std
624 # -- Loading package mem_pkg
625 # -- Compiling architecture behaviour of r2_w_ram
626 # -- Loading entity r2_w_ram
627 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
628 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
629 # -- Loading package standard
630 # -- Loading package std_logic_1164
631 # -- Loading package numeric_std
632 # -- Compiling entity rom
633 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
634 # -- Loading package standard
635 # -- Loading package std_logic_1164
636 # -- Loading package numeric_std
637 # -- Loading package mem_pkg
638 # -- Compiling architecture behaviour of rom
639 # -- Loading entity rom
640 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
641 # -- Loading package standard
642 # -- Loading package std_logic_1164
643 # -- Loading package numeric_std
644 # -- Compiling package common_pkg
645 # -- Compiling package body common_pkg
646 # -- Loading package common_pkg
647 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
648 # -- Loading package standard
649 # -- Loading package std_logic_1164
650 # -- Loading package numeric_std
651 # -- Loading package common_pkg
652 # -- Compiling package extension_pkg
653 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
654 # -- Loading package standard
655 # -- Loading package std_logic_1164
656 # -- Loading package numeric_std
657 # -- Loading package common_pkg
658 # -- Loading package extension_pkg
659 # -- Compiling package core_pkg
660 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
661 # -- Loading package standard
662 # -- Loading package std_logic_1164
663 # -- Loading package numeric_std
664 # -- Loading package common_pkg
665 # -- Loading package extension_pkg
666 # -- Compiling package extension_uart_pkg
667 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
668 # -- Loading package standard
669 # -- Loading package std_logic_1164
670 # -- Loading package numeric_std
671 # -- Loading package common_pkg
672 # -- Loading package extension_pkg
673 # -- Loading package extension_uart_pkg
674 # -- Compiling entity extension_uart
675 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
676 # -- Loading package standard
677 # -- Loading package std_logic_1164
678 # -- Loading package numeric_std
679 # -- Loading package common_pkg
680 # -- Loading package extension_pkg
681 # -- Loading package core_pkg
682 # -- Loading package mem_pkg
683 # -- Loading package extension_uart_pkg
684 # -- Compiling architecture behav of extension_uart
685 # -- Loading entity extension_uart
686 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
687 # -- Loading package standard
688 # -- Loading package std_logic_1164
689 # -- Loading package numeric_std
690 # -- Loading package common_pkg
691 # -- Loading package extension_pkg
692 # -- Compiling entity extension_interrupt
693 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
694 # -- Loading package standard
695 # -- Loading package std_logic_1164
696 # -- Loading package numeric_std
697 # -- Loading package common_pkg
698 # -- Loading package extension_pkg
699 # -- Compiling architecture behav of extension_interrupt
700 # -- Loading entity extension_interrupt
701 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
702 # -- Loading package standard
703 # -- Loading package std_logic_1164
704 # -- Loading package numeric_std
705 # -- Loading package common_pkg
706 # -- Loading package extension_pkg
707 # -- Compiling package extension_7seg_pkg
708 # -- Compiling package body extension_7seg_pkg
709 # -- Loading package extension_7seg_pkg
710 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
711 # -- Loading package standard
712 # -- Loading package std_logic_1164
713 # -- Loading package numeric_std
714 # -- Loading package common_pkg
715 # -- Loading package extension_pkg
716 # -- Loading package extension_7seg_pkg
717 # -- Compiling entity extension_7seg
718 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
719 # -- Loading package standard
720 # -- Loading package std_logic_1164
721 # -- Loading package numeric_std
722 # -- Loading package common_pkg
723 # -- Loading package extension_pkg
724 # -- Loading package core_pkg
725 # -- Loading package mem_pkg
726 # -- Loading package extension_7seg_pkg
727 # -- Compiling architecture behav of extension_7seg
728 # -- Loading entity extension_7seg
729 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
730 # -- Loading package standard
731 # -- Loading package std_logic_1164
732 # -- Loading package std_logic_arith
733 # -- Loading package std_logic_unsigned
734 # -- Loading package numeric_std
735 # -- Loading package common_pkg
736 # -- Loading package extension_pkg
737 # -- Loading package core_pkg
738 # -- Loading package extension_uart_pkg
739 # -- Compiling entity rs232_tx
740 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
741 # -- Loading package standard
742 # -- Loading package std_logic_1164
743 # -- Loading package numeric_std
744 # -- Loading package common_pkg
745 # -- Loading package extension_pkg
746 # -- Loading package core_pkg
747 # -- Loading package extension_uart_pkg
748 # -- Compiling architecture beh of rs232_tx
749 # -- Loading package std_logic_arith
750 # -- Loading package std_logic_unsigned
751 # -- Loading entity rs232_tx
752 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
753 # -- Loading package standard
754 # -- Loading package std_logic_1164
755 # -- Loading package std_logic_arith
756 # -- Loading package std_logic_unsigned
757 # -- Loading package numeric_std
758 # -- Loading package common_pkg
759 # -- Loading package extension_pkg
760 # -- Loading package core_pkg
761 # -- Loading package extension_uart_pkg
762 # -- Compiling entity rs232_rx
763 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
764 # -- Loading package standard
765 # -- Loading package std_logic_1164
766 # -- Loading package numeric_std
767 # -- Loading package common_pkg
768 # -- Loading package extension_pkg
769 # -- Loading package extension_uart_pkg
770 # -- Loading package core_pkg
771 # -- Compiling architecture beh of rs232_rx
772 # -- Loading package std_logic_arith
773 # -- Loading package std_logic_unsigned
774 # -- Loading entity rs232_rx
775 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
776 # -- Loading package standard
777 # -- Loading package std_logic_1164
778 # -- Loading package numeric_std
779 # -- Loading package common_pkg
780 # -- Loading package extension_pkg
781 # -- Loading package core_pkg
782 # -- Compiling entity decoder
783 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
784 # -- Loading package standard
785 # -- Loading package std_logic_1164
786 # -- Loading package numeric_std
787 # -- Loading package mem_pkg
788 # -- Loading package common_pkg
789 # -- Loading package extension_pkg
790 # -- Loading package core_pkg
791 # -- Compiling architecture behav_d of decoder
792 # -- Loading entity decoder
793 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
794 # -- Loading package standard
795 # -- Loading package std_logic_1164
796 # -- Loading package numeric_std
797 # -- Loading package common_pkg
798 # -- Loading package extension_pkg
799 # -- Loading package core_pkg
800 # -- Compiling entity fetch_stage
801 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
802 # -- Loading package standard
803 # -- Loading package std_logic_1164
804 # -- Loading package numeric_std
805 # -- Loading package common_pkg
806 # -- Loading package extension_pkg
807 # -- Loading package core_pkg
808 # -- Loading package mem_pkg
809 # -- Compiling architecture behav of fetch_stage
810 # -- Loading entity fetch_stage
811 # ** Error: ../src/fetch_stage_b.vhd(100): Illegal type conversion from std.standard.integer to ieee.numeric_std.unsigned (numeric to array).
812 # ** Error: ../src/fetch_stage_b.vhd(113): VHDL Compiler exiting
813 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
814 # Error in macro ./testcore.do line 32
815 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
816 #     while executing
817 # "vcom -work work ../src/fetch_stage_b.vhd"
818 do testcore.do
819 # ** Warning: (vlib-34) Library already exists at "work".
820 # Modifying modelsim.ini
821 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
822 # -- Loading package standard
823 # -- Loading package std_logic_1164
824 # -- Loading package numeric_std
825 # -- Compiling package mem_pkg
826 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
827 # -- Loading package standard
828 # -- Loading package std_logic_1164
829 # -- Loading package numeric_std
830 # -- Compiling entity r_w_ram
831 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
832 # -- Loading package standard
833 # -- Loading package std_logic_1164
834 # -- Loading package numeric_std
835 # -- Loading package mem_pkg
836 # -- Compiling architecture behaviour of r_w_ram
837 # -- Loading entity r_w_ram
838 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
839 # -- Loading package standard
840 # -- Loading package std_logic_1164
841 # -- Loading package numeric_std
842 # -- Compiling entity r_w_ram_be
843 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
844 # -- Loading package standard
845 # -- Loading package std_logic_1164
846 # -- Loading package numeric_std
847 # -- Loading package mem_pkg
848 # -- Compiling architecture behaviour of r_w_ram_be
849 # -- Loading entity r_w_ram_be
850 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
851 # -- Loading package standard
852 # -- Loading package std_logic_1164
853 # -- Loading package numeric_std
854 # -- Loading package mem_pkg
855 # -- Compiling entity r2_w_ram
856 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
857 # -- Loading package standard
858 # -- Loading package std_logic_1164
859 # -- Loading package numeric_std
860 # -- Loading package mem_pkg
861 # -- Compiling architecture behaviour of r2_w_ram
862 # -- Loading entity r2_w_ram
863 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
864 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
865 # -- Loading package standard
866 # -- Loading package std_logic_1164
867 # -- Loading package numeric_std
868 # -- Compiling entity rom
869 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
870 # -- Loading package standard
871 # -- Loading package std_logic_1164
872 # -- Loading package numeric_std
873 # -- Loading package mem_pkg
874 # -- Compiling architecture behaviour of rom
875 # -- Loading entity rom
876 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
877 # -- Loading package standard
878 # -- Loading package std_logic_1164
879 # -- Loading package numeric_std
880 # -- Compiling package common_pkg
881 # -- Compiling package body common_pkg
882 # -- Loading package common_pkg
883 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
884 # -- Loading package standard
885 # -- Loading package std_logic_1164
886 # -- Loading package numeric_std
887 # -- Loading package common_pkg
888 # -- Compiling package extension_pkg
889 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
890 # -- Loading package standard
891 # -- Loading package std_logic_1164
892 # -- Loading package numeric_std
893 # -- Loading package common_pkg
894 # -- Loading package extension_pkg
895 # -- Compiling package core_pkg
896 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
897 # -- Loading package standard
898 # -- Loading package std_logic_1164
899 # -- Loading package numeric_std
900 # -- Loading package common_pkg
901 # -- Loading package extension_pkg
902 # -- Compiling package extension_uart_pkg
903 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
904 # -- Loading package standard
905 # -- Loading package std_logic_1164
906 # -- Loading package numeric_std
907 # -- Loading package common_pkg
908 # -- Loading package extension_pkg
909 # -- Loading package extension_uart_pkg
910 # -- Compiling entity extension_uart
911 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
912 # -- Loading package standard
913 # -- Loading package std_logic_1164
914 # -- Loading package numeric_std
915 # -- Loading package common_pkg
916 # -- Loading package extension_pkg
917 # -- Loading package core_pkg
918 # -- Loading package mem_pkg
919 # -- Loading package extension_uart_pkg
920 # -- Compiling architecture behav of extension_uart
921 # -- Loading entity extension_uart
922 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
923 # -- Loading package standard
924 # -- Loading package std_logic_1164
925 # -- Loading package numeric_std
926 # -- Loading package common_pkg
927 # -- Loading package extension_pkg
928 # -- Compiling entity extension_interrupt
929 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
930 # -- Loading package standard
931 # -- Loading package std_logic_1164
932 # -- Loading package numeric_std
933 # -- Loading package common_pkg
934 # -- Loading package extension_pkg
935 # -- Compiling architecture behav of extension_interrupt
936 # -- Loading entity extension_interrupt
937 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
938 # -- Loading package standard
939 # -- Loading package std_logic_1164
940 # -- Loading package numeric_std
941 # -- Loading package common_pkg
942 # -- Loading package extension_pkg
943 # -- Compiling package extension_7seg_pkg
944 # -- Compiling package body extension_7seg_pkg
945 # -- Loading package extension_7seg_pkg
946 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
947 # -- Loading package standard
948 # -- Loading package std_logic_1164
949 # -- Loading package numeric_std
950 # -- Loading package common_pkg
951 # -- Loading package extension_pkg
952 # -- Loading package extension_7seg_pkg
953 # -- Compiling entity extension_7seg
954 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
955 # -- Loading package standard
956 # -- Loading package std_logic_1164
957 # -- Loading package numeric_std
958 # -- Loading package common_pkg
959 # -- Loading package extension_pkg
960 # -- Loading package core_pkg
961 # -- Loading package mem_pkg
962 # -- Loading package extension_7seg_pkg
963 # -- Compiling architecture behav of extension_7seg
964 # -- Loading entity extension_7seg
965 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
966 # -- Loading package standard
967 # -- Loading package std_logic_1164
968 # -- Loading package std_logic_arith
969 # -- Loading package std_logic_unsigned
970 # -- Loading package numeric_std
971 # -- Loading package common_pkg
972 # -- Loading package extension_pkg
973 # -- Loading package core_pkg
974 # -- Loading package extension_uart_pkg
975 # -- Compiling entity rs232_tx
976 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
977 # -- Loading package standard
978 # -- Loading package std_logic_1164
979 # -- Loading package numeric_std
980 # -- Loading package common_pkg
981 # -- Loading package extension_pkg
982 # -- Loading package core_pkg
983 # -- Loading package extension_uart_pkg
984 # -- Compiling architecture beh of rs232_tx
985 # -- Loading package std_logic_arith
986 # -- Loading package std_logic_unsigned
987 # -- Loading entity rs232_tx
988 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
989 # -- Loading package standard
990 # -- Loading package std_logic_1164
991 # -- Loading package std_logic_arith
992 # -- Loading package std_logic_unsigned
993 # -- Loading package numeric_std
994 # -- Loading package common_pkg
995 # -- Loading package extension_pkg
996 # -- Loading package core_pkg
997 # -- Loading package extension_uart_pkg
998 # -- Compiling entity rs232_rx
999 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1000 # -- Loading package standard
1001 # -- Loading package std_logic_1164
1002 # -- Loading package numeric_std
1003 # -- Loading package common_pkg
1004 # -- Loading package extension_pkg
1005 # -- Loading package extension_uart_pkg
1006 # -- Loading package core_pkg
1007 # -- Compiling architecture beh of rs232_rx
1008 # -- Loading package std_logic_arith
1009 # -- Loading package std_logic_unsigned
1010 # -- Loading entity rs232_rx
1011 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1012 # -- Loading package standard
1013 # -- Loading package std_logic_1164
1014 # -- Loading package numeric_std
1015 # -- Loading package common_pkg
1016 # -- Loading package extension_pkg
1017 # -- Loading package core_pkg
1018 # -- Compiling entity decoder
1019 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1020 # -- Loading package standard
1021 # -- Loading package std_logic_1164
1022 # -- Loading package numeric_std
1023 # -- Loading package mem_pkg
1024 # -- Loading package common_pkg
1025 # -- Loading package extension_pkg
1026 # -- Loading package core_pkg
1027 # -- Compiling architecture behav_d of decoder
1028 # -- Loading entity decoder
1029 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1030 # -- Loading package standard
1031 # -- Loading package std_logic_1164
1032 # -- Loading package numeric_std
1033 # -- Loading package common_pkg
1034 # -- Loading package extension_pkg
1035 # -- Loading package core_pkg
1036 # -- Compiling entity fetch_stage
1037 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1038 # -- Loading package standard
1039 # -- Loading package std_logic_1164
1040 # -- Loading package numeric_std
1041 # -- Loading package common_pkg
1042 # -- Loading package extension_pkg
1043 # -- Loading package core_pkg
1044 # -- Loading package mem_pkg
1045 # -- Compiling architecture behav of fetch_stage
1046 # -- Loading entity fetch_stage
1047 # ** Error: ../src/fetch_stage_b.vhd(100): Illegal type conversion from std.standard.integer to ieee.std_logic_1164.std_logic_vector (numeric to array).
1048 # ** Error: ../src/fetch_stage_b.vhd(113): VHDL Compiler exiting
1049 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1050 # Error in macro ./testcore.do line 32
1051 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1052 #     while executing
1053 # "vcom -work work ../src/fetch_stage_b.vhd"
1054 do testcore.do
1055 # ** Warning: (vlib-34) Library already exists at "work".
1056 # Modifying modelsim.ini
1057 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1058 # -- Loading package standard
1059 # -- Loading package std_logic_1164
1060 # -- Loading package numeric_std
1061 # -- Compiling package mem_pkg
1062 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1063 # -- Loading package standard
1064 # -- Loading package std_logic_1164
1065 # -- Loading package numeric_std
1066 # -- Compiling entity r_w_ram
1067 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1068 # -- Loading package standard
1069 # -- Loading package std_logic_1164
1070 # -- Loading package numeric_std
1071 # -- Loading package mem_pkg
1072 # -- Compiling architecture behaviour of r_w_ram
1073 # -- Loading entity r_w_ram
1074 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1075 # -- Loading package standard
1076 # -- Loading package std_logic_1164
1077 # -- Loading package numeric_std
1078 # -- Compiling entity r_w_ram_be
1079 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1080 # -- Loading package standard
1081 # -- Loading package std_logic_1164
1082 # -- Loading package numeric_std
1083 # -- Loading package mem_pkg
1084 # -- Compiling architecture behaviour of r_w_ram_be
1085 # -- Loading entity r_w_ram_be
1086 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1087 # -- Loading package standard
1088 # -- Loading package std_logic_1164
1089 # -- Loading package numeric_std
1090 # -- Loading package mem_pkg
1091 # -- Compiling entity r2_w_ram
1092 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1093 # -- Loading package standard
1094 # -- Loading package std_logic_1164
1095 # -- Loading package numeric_std
1096 # -- Loading package mem_pkg
1097 # -- Compiling architecture behaviour of r2_w_ram
1098 # -- Loading entity r2_w_ram
1099 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1100 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1101 # -- Loading package standard
1102 # -- Loading package std_logic_1164
1103 # -- Loading package numeric_std
1104 # -- Compiling entity rom
1105 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1106 # -- Loading package standard
1107 # -- Loading package std_logic_1164
1108 # -- Loading package numeric_std
1109 # -- Loading package mem_pkg
1110 # -- Compiling architecture behaviour of rom
1111 # -- Loading entity rom
1112 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1113 # -- Loading package standard
1114 # -- Loading package std_logic_1164
1115 # -- Loading package numeric_std
1116 # -- Compiling package common_pkg
1117 # -- Compiling package body common_pkg
1118 # -- Loading package common_pkg
1119 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1120 # -- Loading package standard
1121 # -- Loading package std_logic_1164
1122 # -- Loading package numeric_std
1123 # -- Loading package common_pkg
1124 # -- Compiling package extension_pkg
1125 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1126 # -- Loading package standard
1127 # -- Loading package std_logic_1164
1128 # -- Loading package numeric_std
1129 # -- Loading package common_pkg
1130 # -- Loading package extension_pkg
1131 # -- Compiling package core_pkg
1132 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1133 # -- Loading package standard
1134 # -- Loading package std_logic_1164
1135 # -- Loading package numeric_std
1136 # -- Loading package common_pkg
1137 # -- Loading package extension_pkg
1138 # -- Compiling package extension_uart_pkg
1139 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1140 # -- Loading package standard
1141 # -- Loading package std_logic_1164
1142 # -- Loading package numeric_std
1143 # -- Loading package common_pkg
1144 # -- Loading package extension_pkg
1145 # -- Loading package extension_uart_pkg
1146 # -- Compiling entity extension_uart
1147 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1148 # -- Loading package standard
1149 # -- Loading package std_logic_1164
1150 # -- Loading package numeric_std
1151 # -- Loading package common_pkg
1152 # -- Loading package extension_pkg
1153 # -- Loading package core_pkg
1154 # -- Loading package mem_pkg
1155 # -- Loading package extension_uart_pkg
1156 # -- Compiling architecture behav of extension_uart
1157 # -- Loading entity extension_uart
1158 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1159 # -- Loading package standard
1160 # -- Loading package std_logic_1164
1161 # -- Loading package numeric_std
1162 # -- Loading package common_pkg
1163 # -- Loading package extension_pkg
1164 # -- Compiling entity extension_interrupt
1165 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1166 # -- Loading package standard
1167 # -- Loading package std_logic_1164
1168 # -- Loading package numeric_std
1169 # -- Loading package common_pkg
1170 # -- Loading package extension_pkg
1171 # -- Compiling architecture behav of extension_interrupt
1172 # -- Loading entity extension_interrupt
1173 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1174 # -- Loading package standard
1175 # -- Loading package std_logic_1164
1176 # -- Loading package numeric_std
1177 # -- Loading package common_pkg
1178 # -- Loading package extension_pkg
1179 # -- Compiling package extension_7seg_pkg
1180 # -- Compiling package body extension_7seg_pkg
1181 # -- Loading package extension_7seg_pkg
1182 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1183 # -- Loading package standard
1184 # -- Loading package std_logic_1164
1185 # -- Loading package numeric_std
1186 # -- Loading package common_pkg
1187 # -- Loading package extension_pkg
1188 # -- Loading package extension_7seg_pkg
1189 # -- Compiling entity extension_7seg
1190 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1191 # -- Loading package standard
1192 # -- Loading package std_logic_1164
1193 # -- Loading package numeric_std
1194 # -- Loading package common_pkg
1195 # -- Loading package extension_pkg
1196 # -- Loading package core_pkg
1197 # -- Loading package mem_pkg
1198 # -- Loading package extension_7seg_pkg
1199 # -- Compiling architecture behav of extension_7seg
1200 # -- Loading entity extension_7seg
1201 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1202 # -- Loading package standard
1203 # -- Loading package std_logic_1164
1204 # -- Loading package std_logic_arith
1205 # -- Loading package std_logic_unsigned
1206 # -- Loading package numeric_std
1207 # -- Loading package common_pkg
1208 # -- Loading package extension_pkg
1209 # -- Loading package core_pkg
1210 # -- Loading package extension_uart_pkg
1211 # -- Compiling entity rs232_tx
1212 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1213 # -- Loading package standard
1214 # -- Loading package std_logic_1164
1215 # -- Loading package numeric_std
1216 # -- Loading package common_pkg
1217 # -- Loading package extension_pkg
1218 # -- Loading package core_pkg
1219 # -- Loading package extension_uart_pkg
1220 # -- Compiling architecture beh of rs232_tx
1221 # -- Loading package std_logic_arith
1222 # -- Loading package std_logic_unsigned
1223 # -- Loading entity rs232_tx
1224 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1225 # -- Loading package standard
1226 # -- Loading package std_logic_1164
1227 # -- Loading package std_logic_arith
1228 # -- Loading package std_logic_unsigned
1229 # -- Loading package numeric_std
1230 # -- Loading package common_pkg
1231 # -- Loading package extension_pkg
1232 # -- Loading package core_pkg
1233 # -- Loading package extension_uart_pkg
1234 # -- Compiling entity rs232_rx
1235 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1236 # -- Loading package standard
1237 # -- Loading package std_logic_1164
1238 # -- Loading package numeric_std
1239 # -- Loading package common_pkg
1240 # -- Loading package extension_pkg
1241 # -- Loading package extension_uart_pkg
1242 # -- Loading package core_pkg
1243 # -- Compiling architecture beh of rs232_rx
1244 # -- Loading package std_logic_arith
1245 # -- Loading package std_logic_unsigned
1246 # -- Loading entity rs232_rx
1247 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1248 # -- Loading package standard
1249 # -- Loading package std_logic_1164
1250 # -- Loading package numeric_std
1251 # -- Loading package common_pkg
1252 # -- Loading package extension_pkg
1253 # -- Loading package core_pkg
1254 # -- Compiling entity decoder
1255 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1256 # -- Loading package standard
1257 # -- Loading package std_logic_1164
1258 # -- Loading package numeric_std
1259 # -- Loading package mem_pkg
1260 # -- Loading package common_pkg
1261 # -- Loading package extension_pkg
1262 # -- Loading package core_pkg
1263 # -- Compiling architecture behav_d of decoder
1264 # -- Loading entity decoder
1265 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1266 # -- Loading package standard
1267 # -- Loading package std_logic_1164
1268 # -- Loading package numeric_std
1269 # -- Loading package common_pkg
1270 # -- Loading package extension_pkg
1271 # -- Loading package core_pkg
1272 # -- Compiling entity fetch_stage
1273 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1274 # -- Loading package standard
1275 # -- Loading package std_logic_1164
1276 # -- Loading package numeric_std
1277 # -- Loading package common_pkg
1278 # -- Loading package extension_pkg
1279 # -- Loading package core_pkg
1280 # -- Loading package mem_pkg
1281 # -- Compiling architecture behav of fetch_stage
1282 # -- Loading entity fetch_stage
1283 # ** Error: ../src/fetch_stage_b.vhd(100): No feasible entries for subprogram "to_unsigned".
1284 # ** Error: ../src/fetch_stage_b.vhd(100): Illegal type conversion to ieee.std_logic_1164.std_logic_vector (operand type is not known).
1285 # ** Error: ../src/fetch_stage_b.vhd(113): VHDL Compiler exiting
1286 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1287 # Error in macro ./testcore.do line 32
1288 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1289 #     while executing
1290 # "vcom -work work ../src/fetch_stage_b.vhd"
1291 do testcore.do
1292 # ** Warning: (vlib-34) Library already exists at "work".
1293 # Modifying modelsim.ini
1294 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1295 # -- Loading package standard
1296 # -- Loading package std_logic_1164
1297 # -- Loading package numeric_std
1298 # -- Compiling package mem_pkg
1299 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1300 # -- Loading package standard
1301 # -- Loading package std_logic_1164
1302 # -- Loading package numeric_std
1303 # -- Compiling entity r_w_ram
1304 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1305 # -- Loading package standard
1306 # -- Loading package std_logic_1164
1307 # -- Loading package numeric_std
1308 # -- Loading package mem_pkg
1309 # -- Compiling architecture behaviour of r_w_ram
1310 # -- Loading entity r_w_ram
1311 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1312 # -- Loading package standard
1313 # -- Loading package std_logic_1164
1314 # -- Loading package numeric_std
1315 # -- Compiling entity r_w_ram_be
1316 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1317 # -- Loading package standard
1318 # -- Loading package std_logic_1164
1319 # -- Loading package numeric_std
1320 # -- Loading package mem_pkg
1321 # -- Compiling architecture behaviour of r_w_ram_be
1322 # -- Loading entity r_w_ram_be
1323 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1324 # -- Loading package standard
1325 # -- Loading package std_logic_1164
1326 # -- Loading package numeric_std
1327 # -- Loading package mem_pkg
1328 # -- Compiling entity r2_w_ram
1329 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1330 # -- Loading package standard
1331 # -- Loading package std_logic_1164
1332 # -- Loading package numeric_std
1333 # -- Loading package mem_pkg
1334 # -- Compiling architecture behaviour of r2_w_ram
1335 # -- Loading entity r2_w_ram
1336 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1337 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1338 # -- Loading package standard
1339 # -- Loading package std_logic_1164
1340 # -- Loading package numeric_std
1341 # -- Compiling entity rom
1342 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1343 # -- Loading package standard
1344 # -- Loading package std_logic_1164
1345 # -- Loading package numeric_std
1346 # -- Loading package mem_pkg
1347 # -- Compiling architecture behaviour of rom
1348 # -- Loading entity rom
1349 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1350 # -- Loading package standard
1351 # -- Loading package std_logic_1164
1352 # -- Loading package numeric_std
1353 # -- Compiling package common_pkg
1354 # ** Error: ../src/common_pkg.vhd(84): (vcom-1272) Length of expected is 12; length of actual is 11.
1355 # ** Error: ../src/common_pkg.vhd(173): VHDL Compiler exiting
1356 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1357 # Error in macro ./testcore.do line 13
1358 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1359 #     while executing
1360 # "vcom -work work ../src/common_pkg.vhd"
1361 do testcore.do
1362 # ** Warning: (vlib-34) Library already exists at "work".
1363 # Modifying modelsim.ini
1364 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1365 # -- Loading package standard
1366 # -- Loading package std_logic_1164
1367 # -- Loading package numeric_std
1368 # -- Compiling package mem_pkg
1369 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1370 # -- Loading package standard
1371 # -- Loading package std_logic_1164
1372 # -- Loading package numeric_std
1373 # -- Compiling entity r_w_ram
1374 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1375 # -- Loading package standard
1376 # -- Loading package std_logic_1164
1377 # -- Loading package numeric_std
1378 # -- Loading package mem_pkg
1379 # -- Compiling architecture behaviour of r_w_ram
1380 # -- Loading entity r_w_ram
1381 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1382 # -- Loading package standard
1383 # -- Loading package std_logic_1164
1384 # -- Loading package numeric_std
1385 # -- Compiling entity r_w_ram_be
1386 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1387 # -- Loading package standard
1388 # -- Loading package std_logic_1164
1389 # -- Loading package numeric_std
1390 # -- Loading package mem_pkg
1391 # -- Compiling architecture behaviour of r_w_ram_be
1392 # -- Loading entity r_w_ram_be
1393 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1394 # -- Loading package standard
1395 # -- Loading package std_logic_1164
1396 # -- Loading package numeric_std
1397 # -- Loading package mem_pkg
1398 # -- Compiling entity r2_w_ram
1399 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1400 # -- Loading package standard
1401 # -- Loading package std_logic_1164
1402 # -- Loading package numeric_std
1403 # -- Loading package mem_pkg
1404 # -- Compiling architecture behaviour of r2_w_ram
1405 # -- Loading entity r2_w_ram
1406 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1407 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1408 # -- Loading package standard
1409 # -- Loading package std_logic_1164
1410 # -- Loading package numeric_std
1411 # -- Compiling entity rom
1412 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1413 # -- Loading package standard
1414 # -- Loading package std_logic_1164
1415 # -- Loading package numeric_std
1416 # -- Loading package mem_pkg
1417 # -- Compiling architecture behaviour of rom
1418 # -- Loading entity rom
1419 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1420 # -- Loading package standard
1421 # -- Loading package std_logic_1164
1422 # -- Loading package numeric_std
1423 # -- Compiling package common_pkg
1424 # ** Error: ../src/common_pkg.vhd(84): (vcom-1272) Length of expected is 12; length of actual is 11.
1425 # ** Error: ../src/common_pkg.vhd(173): VHDL Compiler exiting
1426 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1427 # Error in macro ./testcore.do line 13
1428 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1429 #     while executing
1430 # "vcom -work work ../src/common_pkg.vhd"
1431 do testcore.do
1432 # ** Warning: (vlib-34) Library already exists at "work".
1433 # Modifying modelsim.ini
1434 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1435 # -- Loading package standard
1436 # -- Loading package std_logic_1164
1437 # -- Loading package numeric_std
1438 # -- Compiling package mem_pkg
1439 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1440 # -- Loading package standard
1441 # -- Loading package std_logic_1164
1442 # -- Loading package numeric_std
1443 # -- Compiling entity r_w_ram
1444 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1445 # -- Loading package standard
1446 # -- Loading package std_logic_1164
1447 # -- Loading package numeric_std
1448 # -- Loading package mem_pkg
1449 # -- Compiling architecture behaviour of r_w_ram
1450 # -- Loading entity r_w_ram
1451 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1452 # -- Loading package standard
1453 # -- Loading package std_logic_1164
1454 # -- Loading package numeric_std
1455 # -- Compiling entity r_w_ram_be
1456 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1457 # -- Loading package standard
1458 # -- Loading package std_logic_1164
1459 # -- Loading package numeric_std
1460 # -- Loading package mem_pkg
1461 # -- Compiling architecture behaviour of r_w_ram_be
1462 # -- Loading entity r_w_ram_be
1463 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1464 # -- Loading package standard
1465 # -- Loading package std_logic_1164
1466 # -- Loading package numeric_std
1467 # -- Loading package mem_pkg
1468 # -- Compiling entity r2_w_ram
1469 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1470 # -- Loading package standard
1471 # -- Loading package std_logic_1164
1472 # -- Loading package numeric_std
1473 # -- Loading package mem_pkg
1474 # -- Compiling architecture behaviour of r2_w_ram
1475 # -- Loading entity r2_w_ram
1476 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1477 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1478 # -- Loading package standard
1479 # -- Loading package std_logic_1164
1480 # -- Loading package numeric_std
1481 # -- Compiling entity rom
1482 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1483 # -- Loading package standard
1484 # -- Loading package std_logic_1164
1485 # -- Loading package numeric_std
1486 # -- Loading package mem_pkg
1487 # -- Compiling architecture behaviour of rom
1488 # -- Loading entity rom
1489 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1490 # -- Loading package standard
1491 # -- Loading package std_logic_1164
1492 # -- Loading package numeric_std
1493 # -- Compiling package common_pkg
1494 # -- Compiling package body common_pkg
1495 # -- Loading package common_pkg
1496 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1497 # -- Loading package standard
1498 # -- Loading package std_logic_1164
1499 # -- Loading package numeric_std
1500 # -- Loading package common_pkg
1501 # -- Compiling package extension_pkg
1502 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1503 # -- Loading package standard
1504 # -- Loading package std_logic_1164
1505 # -- Loading package numeric_std
1506 # -- Loading package common_pkg
1507 # -- Loading package extension_pkg
1508 # -- Compiling package core_pkg
1509 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1510 # -- Loading package standard
1511 # -- Loading package std_logic_1164
1512 # -- Loading package numeric_std
1513 # -- Loading package common_pkg
1514 # -- Loading package extension_pkg
1515 # -- Compiling package extension_uart_pkg
1516 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1517 # -- Loading package standard
1518 # -- Loading package std_logic_1164
1519 # -- Loading package numeric_std
1520 # -- Loading package common_pkg
1521 # -- Loading package extension_pkg
1522 # -- Loading package extension_uart_pkg
1523 # -- Compiling entity extension_uart
1524 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1525 # -- Loading package standard
1526 # -- Loading package std_logic_1164
1527 # -- Loading package numeric_std
1528 # -- Loading package common_pkg
1529 # -- Loading package extension_pkg
1530 # -- Loading package core_pkg
1531 # -- Loading package mem_pkg
1532 # -- Loading package extension_uart_pkg
1533 # -- Compiling architecture behav of extension_uart
1534 # -- Loading entity extension_uart
1535 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1536 # -- Loading package standard
1537 # -- Loading package std_logic_1164
1538 # -- Loading package numeric_std
1539 # -- Loading package common_pkg
1540 # -- Loading package extension_pkg
1541 # -- Compiling entity extension_interrupt
1542 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1543 # -- Loading package standard
1544 # -- Loading package std_logic_1164
1545 # -- Loading package numeric_std
1546 # -- Loading package common_pkg
1547 # -- Loading package extension_pkg
1548 # -- Compiling architecture behav of extension_interrupt
1549 # -- Loading entity extension_interrupt
1550 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1551 # -- Loading package standard
1552 # -- Loading package std_logic_1164
1553 # -- Loading package numeric_std
1554 # -- Loading package common_pkg
1555 # -- Loading package extension_pkg
1556 # -- Compiling package extension_7seg_pkg
1557 # -- Compiling package body extension_7seg_pkg
1558 # -- Loading package extension_7seg_pkg
1559 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1560 # -- Loading package standard
1561 # -- Loading package std_logic_1164
1562 # -- Loading package numeric_std
1563 # -- Loading package common_pkg
1564 # -- Loading package extension_pkg
1565 # -- Loading package extension_7seg_pkg
1566 # -- Compiling entity extension_7seg
1567 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1568 # -- Loading package standard
1569 # -- Loading package std_logic_1164
1570 # -- Loading package numeric_std
1571 # -- Loading package common_pkg
1572 # -- Loading package extension_pkg
1573 # -- Loading package core_pkg
1574 # -- Loading package mem_pkg
1575 # -- Loading package extension_7seg_pkg
1576 # -- Compiling architecture behav of extension_7seg
1577 # -- Loading entity extension_7seg
1578 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1579 # -- Loading package standard
1580 # -- Loading package std_logic_1164
1581 # -- Loading package std_logic_arith
1582 # -- Loading package std_logic_unsigned
1583 # -- Loading package numeric_std
1584 # -- Loading package common_pkg
1585 # -- Loading package extension_pkg
1586 # -- Loading package core_pkg
1587 # -- Loading package extension_uart_pkg
1588 # -- Compiling entity rs232_tx
1589 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1590 # -- Loading package standard
1591 # -- Loading package std_logic_1164
1592 # -- Loading package numeric_std
1593 # -- Loading package common_pkg
1594 # -- Loading package extension_pkg
1595 # -- Loading package core_pkg
1596 # -- Loading package extension_uart_pkg
1597 # -- Compiling architecture beh of rs232_tx
1598 # -- Loading package std_logic_arith
1599 # -- Loading package std_logic_unsigned
1600 # -- Loading entity rs232_tx
1601 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1602 # -- Loading package standard
1603 # -- Loading package std_logic_1164
1604 # -- Loading package std_logic_arith
1605 # -- Loading package std_logic_unsigned
1606 # -- Loading package numeric_std
1607 # -- Loading package common_pkg
1608 # -- Loading package extension_pkg
1609 # -- Loading package core_pkg
1610 # -- Loading package extension_uart_pkg
1611 # -- Compiling entity rs232_rx
1612 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1613 # -- Loading package standard
1614 # -- Loading package std_logic_1164
1615 # -- Loading package numeric_std
1616 # -- Loading package common_pkg
1617 # -- Loading package extension_pkg
1618 # -- Loading package extension_uart_pkg
1619 # -- Loading package core_pkg
1620 # -- Compiling architecture beh of rs232_rx
1621 # -- Loading package std_logic_arith
1622 # -- Loading package std_logic_unsigned
1623 # -- Loading entity rs232_rx
1624 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1625 # -- Loading package standard
1626 # -- Loading package std_logic_1164
1627 # -- Loading package numeric_std
1628 # -- Loading package common_pkg
1629 # -- Loading package extension_pkg
1630 # -- Loading package core_pkg
1631 # -- Compiling entity decoder
1632 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1633 # -- Loading package standard
1634 # -- Loading package std_logic_1164
1635 # -- Loading package numeric_std
1636 # -- Loading package mem_pkg
1637 # -- Loading package common_pkg
1638 # -- Loading package extension_pkg
1639 # -- Loading package core_pkg
1640 # -- Compiling architecture behav_d of decoder
1641 # -- Loading entity decoder
1642 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1643 # -- Loading package standard
1644 # -- Loading package std_logic_1164
1645 # -- Loading package numeric_std
1646 # -- Loading package common_pkg
1647 # -- Loading package extension_pkg
1648 # -- Loading package core_pkg
1649 # -- Compiling entity fetch_stage
1650 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1651 # -- Loading package standard
1652 # -- Loading package std_logic_1164
1653 # -- Loading package numeric_std
1654 # -- Loading package common_pkg
1655 # -- Loading package extension_pkg
1656 # -- Loading package core_pkg
1657 # -- Loading package mem_pkg
1658 # -- Compiling architecture behav of fetch_stage
1659 # -- Loading entity fetch_stage
1660 # ** Warning: [14] ../src/fetch_stage_b.vhd(100): (vcom-1272) Length of expected is 16; length of actual is 11.
1661 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1662 # -- Loading package standard
1663 # -- Loading package std_logic_1164
1664 # -- Loading package numeric_std
1665 # -- Loading package common_pkg
1666 # -- Loading package extension_pkg
1667 # -- Loading package core_pkg
1668 # -- Compiling entity decode_stage
1669 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1670 # -- Loading package standard
1671 # -- Loading package std_logic_1164
1672 # -- Loading package numeric_std
1673 # -- Loading package mem_pkg
1674 # -- Loading package common_pkg
1675 # -- Loading package extension_pkg
1676 # -- Loading package core_pkg
1677 # -- Compiling architecture behav of decode_stage
1678 # -- Loading entity decode_stage
1679 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1680 # -- Loading package standard
1681 # -- Loading package std_logic_1164
1682 # -- Loading package numeric_std
1683 # -- Loading package common_pkg
1684 # -- Loading package extension_pkg
1685 # -- Compiling package alu_pkg
1686 # -- Compiling package body alu_pkg
1687 # -- Loading package alu_pkg
1688 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1689 # -- Loading package standard
1690 # -- Loading package std_logic_1164
1691 # -- Loading package numeric_std
1692 # -- Loading package common_pkg
1693 # -- Compiling package extension_pkg
1694 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1695 # -- Loading package standard
1696 # -- Loading package std_logic_1164
1697 # -- Loading package numeric_std
1698 # -- Loading package common_pkg
1699 # -- Loading package extension_pkg
1700 # -- Loading package alu_pkg
1701 # -- Compiling entity exec_op
1702 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1703 # -- Loading package standard
1704 # -- Loading package std_logic_1164
1705 # -- Loading package numeric_std
1706 # -- Loading package common_pkg
1707 # -- Loading package extension_pkg
1708 # -- Loading package alu_pkg
1709 # -- Compiling architecture add_op of exec_op
1710 # -- Loading entity exec_op
1711 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1712 # -- Loading package standard
1713 # -- Loading package std_logic_1164
1714 # -- Loading package numeric_std
1715 # -- Loading package common_pkg
1716 # -- Loading package extension_pkg
1717 # -- Loading package alu_pkg
1718 # -- Compiling architecture and_op of exec_op
1719 # -- Loading entity exec_op
1720 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1721 # -- Loading package standard
1722 # -- Loading package std_logic_1164
1723 # -- Loading package numeric_std
1724 # -- Loading package common_pkg
1725 # -- Loading package extension_pkg
1726 # -- Loading package alu_pkg
1727 # -- Compiling architecture or_op of exec_op
1728 # -- Loading entity exec_op
1729 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1730 # -- Loading package standard
1731 # -- Loading package std_logic_1164
1732 # -- Loading package numeric_std
1733 # -- Loading package common_pkg
1734 # -- Loading package extension_pkg
1735 # -- Loading package alu_pkg
1736 # -- Compiling architecture xor_op of exec_op
1737 # -- Loading entity exec_op
1738 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1739 # -- Loading package standard
1740 # -- Loading package std_logic_1164
1741 # -- Loading package numeric_std
1742 # -- Loading package common_pkg
1743 # -- Loading package extension_pkg
1744 # -- Loading package alu_pkg
1745 # -- Compiling architecture shift_op of exec_op
1746 # -- Loading entity exec_op
1747 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1748 # -- Loading package standard
1749 # -- Loading package std_logic_1164
1750 # -- Loading package numeric_std
1751 # -- Loading package common_pkg
1752 # -- Loading package extension_pkg
1753 # -- Loading package alu_pkg
1754 # -- Compiling entity alu
1755 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1756 # -- Loading package standard
1757 # -- Loading package std_logic_1164
1758 # -- Loading package numeric_std
1759 # -- Loading package common_pkg
1760 # -- Loading package extension_pkg
1761 # -- Loading package alu_pkg
1762 # -- Compiling architecture behaviour of alu
1763 # -- Loading entity alu
1764 # -- Loading entity exec_op
1765 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1766 # -- Loading package standard
1767 # -- Loading package std_logic_1164
1768 # -- Loading package numeric_std
1769 # -- Loading package common_pkg
1770 # -- Compiling package extension_pkg
1771 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1772 # -- Loading package standard
1773 # -- Loading package std_logic_1164
1774 # -- Loading package numeric_std
1775 # -- Loading package common_pkg
1776 # -- Loading package extension_pkg
1777 # -- Compiling entity extension_gpm
1778 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1779 # -- Loading package standard
1780 # -- Loading package std_logic_1164
1781 # -- Loading package numeric_std
1782 # -- Loading package common_pkg
1783 # -- Loading package extension_pkg
1784 # -- Loading package core_pkg
1785 # -- Loading package mem_pkg
1786 # -- Compiling architecture behav of extension_gpm
1787 # -- Loading entity extension_gpm
1788 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1789 # -- Loading package standard
1790 # -- Loading package std_logic_1164
1791 # -- Loading package numeric_std
1792 # -- Loading package common_pkg
1793 # -- Loading package extension_pkg
1794 # -- Loading package alu_pkg
1795 # -- Compiling entity execute_stage
1796 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1797 # -- Loading package standard
1798 # -- Loading package std_logic_1164
1799 # -- Loading package numeric_std
1800 # -- Loading package common_pkg
1801 # -- Loading package extension_pkg
1802 # -- Loading package alu_pkg
1803 # -- Compiling architecture behav of execute_stage
1804 # -- Loading entity execute_stage
1805 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1806 # -- Loading package standard
1807 # -- Loading package std_logic_1164
1808 # -- Loading package numeric_std
1809 # -- Loading package common_pkg
1810 # -- Compiling entity writeback_stage
1811 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1812 # -- Loading package standard
1813 # -- Loading package std_logic_1164
1814 # -- Loading package numeric_std
1815 # -- Loading package common_pkg
1816 # -- Loading package extension_pkg
1817 # -- Loading package core_pkg
1818 # -- Loading package mem_pkg
1819 # -- Loading package extension_uart_pkg
1820 # -- Loading package extension_7seg_pkg
1821 # -- Compiling architecture behav of writeback_stage
1822 # -- Loading entity writeback_stage
1823 # ** Error: ../src/writeback_stage_b.vhd(91): Cannot assign to object "int_req" of mode IN.
1824 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
1825 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
1826 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
1827 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
1828 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
1829 # ** Error: ../src/writeback_stage_b.vhd(417): VHDL Compiler exiting
1830 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1831 # Error in macro ./testcore.do line 62
1832 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
1833 #     while executing
1834 # "vcom -work work ../src/writeback_stage_b.vhd"
1835 do testcore.do
1836 # ** Warning: (vlib-34) Library already exists at "work".
1837 # Modifying modelsim.ini
1838 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1839 # -- Loading package standard
1840 # -- Loading package std_logic_1164
1841 # -- Loading package numeric_std
1842 # -- Compiling package mem_pkg
1843 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1844 # -- Loading package standard
1845 # -- Loading package std_logic_1164
1846 # -- Loading package numeric_std
1847 # -- Compiling entity r_w_ram
1848 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1849 # -- Loading package standard
1850 # -- Loading package std_logic_1164
1851 # -- Loading package numeric_std
1852 # -- Loading package mem_pkg
1853 # -- Compiling architecture behaviour of r_w_ram
1854 # -- Loading entity r_w_ram
1855 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1856 # -- Loading package standard
1857 # -- Loading package std_logic_1164
1858 # -- Loading package numeric_std
1859 # -- Compiling entity r_w_ram_be
1860 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1861 # -- Loading package standard
1862 # -- Loading package std_logic_1164
1863 # -- Loading package numeric_std
1864 # -- Loading package mem_pkg
1865 # -- Compiling architecture behaviour of r_w_ram_be
1866 # -- Loading entity r_w_ram_be
1867 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1868 # -- Loading package standard
1869 # -- Loading package std_logic_1164
1870 # -- Loading package numeric_std
1871 # -- Loading package mem_pkg
1872 # -- Compiling entity r2_w_ram
1873 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1874 # -- Loading package standard
1875 # -- Loading package std_logic_1164
1876 # -- Loading package numeric_std
1877 # -- Loading package mem_pkg
1878 # -- Compiling architecture behaviour of r2_w_ram
1879 # -- Loading entity r2_w_ram
1880 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
1881 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1882 # -- Loading package standard
1883 # -- Loading package std_logic_1164
1884 # -- Loading package numeric_std
1885 # -- Compiling entity rom
1886 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1887 # -- Loading package standard
1888 # -- Loading package std_logic_1164
1889 # -- Loading package numeric_std
1890 # -- Loading package mem_pkg
1891 # -- Compiling architecture behaviour of rom
1892 # -- Loading entity rom
1893 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1894 # -- Loading package standard
1895 # -- Loading package std_logic_1164
1896 # -- Loading package numeric_std
1897 # -- Compiling package common_pkg
1898 # -- Compiling package body common_pkg
1899 # -- Loading package common_pkg
1900 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1901 # -- Loading package standard
1902 # -- Loading package std_logic_1164
1903 # -- Loading package numeric_std
1904 # -- Loading package common_pkg
1905 # -- Compiling package extension_pkg
1906 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1907 # -- Loading package standard
1908 # -- Loading package std_logic_1164
1909 # -- Loading package numeric_std
1910 # -- Loading package common_pkg
1911 # -- Loading package extension_pkg
1912 # -- Compiling package core_pkg
1913 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1914 # -- Loading package standard
1915 # -- Loading package std_logic_1164
1916 # -- Loading package numeric_std
1917 # -- Loading package common_pkg
1918 # -- Loading package extension_pkg
1919 # -- Compiling package extension_uart_pkg
1920 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1921 # -- Loading package standard
1922 # -- Loading package std_logic_1164
1923 # -- Loading package numeric_std
1924 # -- Loading package common_pkg
1925 # -- Loading package extension_pkg
1926 # -- Loading package extension_uart_pkg
1927 # -- Compiling entity extension_uart
1928 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1929 # -- Loading package standard
1930 # -- Loading package std_logic_1164
1931 # -- Loading package numeric_std
1932 # -- Loading package common_pkg
1933 # -- Loading package extension_pkg
1934 # -- Loading package core_pkg
1935 # -- Loading package mem_pkg
1936 # -- Loading package extension_uart_pkg
1937 # -- Compiling architecture behav of extension_uart
1938 # -- Loading entity extension_uart
1939 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1940 # -- Loading package standard
1941 # -- Loading package std_logic_1164
1942 # -- Loading package numeric_std
1943 # -- Loading package common_pkg
1944 # -- Loading package extension_pkg
1945 # -- Compiling entity extension_interrupt
1946 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1947 # -- Loading package standard
1948 # -- Loading package std_logic_1164
1949 # -- Loading package numeric_std
1950 # -- Loading package common_pkg
1951 # -- Loading package extension_pkg
1952 # -- Compiling architecture behav of extension_interrupt
1953 # -- Loading entity extension_interrupt
1954 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1955 # -- Loading package standard
1956 # -- Loading package std_logic_1164
1957 # -- Loading package numeric_std
1958 # -- Loading package common_pkg
1959 # -- Loading package extension_pkg
1960 # -- Compiling package extension_7seg_pkg
1961 # -- Compiling package body extension_7seg_pkg
1962 # -- Loading package extension_7seg_pkg
1963 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1964 # -- Loading package standard
1965 # -- Loading package std_logic_1164
1966 # -- Loading package numeric_std
1967 # -- Loading package common_pkg
1968 # -- Loading package extension_pkg
1969 # -- Loading package extension_7seg_pkg
1970 # -- Compiling entity extension_7seg
1971 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1972 # -- Loading package standard
1973 # -- Loading package std_logic_1164
1974 # -- Loading package numeric_std
1975 # -- Loading package common_pkg
1976 # -- Loading package extension_pkg
1977 # -- Loading package core_pkg
1978 # -- Loading package mem_pkg
1979 # -- Loading package extension_7seg_pkg
1980 # -- Compiling architecture behav of extension_7seg
1981 # -- Loading entity extension_7seg
1982 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1983 # -- Loading package standard
1984 # -- Loading package std_logic_1164
1985 # -- Loading package std_logic_arith
1986 # -- Loading package std_logic_unsigned
1987 # -- Loading package numeric_std
1988 # -- Loading package common_pkg
1989 # -- Loading package extension_pkg
1990 # -- Loading package core_pkg
1991 # -- Loading package extension_uart_pkg
1992 # -- Compiling entity rs232_tx
1993 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
1994 # -- Loading package standard
1995 # -- Loading package std_logic_1164
1996 # -- Loading package numeric_std
1997 # -- Loading package common_pkg
1998 # -- Loading package extension_pkg
1999 # -- Loading package core_pkg
2000 # -- Loading package extension_uart_pkg
2001 # -- Compiling architecture beh of rs232_tx
2002 # -- Loading package std_logic_arith
2003 # -- Loading package std_logic_unsigned
2004 # -- Loading entity rs232_tx
2005 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2006 # -- Loading package standard
2007 # -- Loading package std_logic_1164
2008 # -- Loading package std_logic_arith
2009 # -- Loading package std_logic_unsigned
2010 # -- Loading package numeric_std
2011 # -- Loading package common_pkg
2012 # -- Loading package extension_pkg
2013 # -- Loading package core_pkg
2014 # -- Loading package extension_uart_pkg
2015 # -- Compiling entity rs232_rx
2016 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2017 # -- Loading package standard
2018 # -- Loading package std_logic_1164
2019 # -- Loading package numeric_std
2020 # -- Loading package common_pkg
2021 # -- Loading package extension_pkg
2022 # -- Loading package extension_uart_pkg
2023 # -- Loading package core_pkg
2024 # -- Compiling architecture beh of rs232_rx
2025 # -- Loading package std_logic_arith
2026 # -- Loading package std_logic_unsigned
2027 # -- Loading entity rs232_rx
2028 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2029 # -- Loading package standard
2030 # -- Loading package std_logic_1164
2031 # -- Loading package numeric_std
2032 # -- Loading package common_pkg
2033 # -- Loading package extension_pkg
2034 # -- Loading package core_pkg
2035 # -- Compiling entity decoder
2036 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2037 # -- Loading package standard
2038 # -- Loading package std_logic_1164
2039 # -- Loading package numeric_std
2040 # -- Loading package mem_pkg
2041 # -- Loading package common_pkg
2042 # -- Loading package extension_pkg
2043 # -- Loading package core_pkg
2044 # -- Compiling architecture behav_d of decoder
2045 # -- Loading entity decoder
2046 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2047 # -- Loading package standard
2048 # -- Loading package std_logic_1164
2049 # -- Loading package numeric_std
2050 # -- Loading package common_pkg
2051 # -- Loading package extension_pkg
2052 # -- Loading package core_pkg
2053 # -- Compiling entity fetch_stage
2054 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2055 # -- Loading package standard
2056 # -- Loading package std_logic_1164
2057 # -- Loading package numeric_std
2058 # -- Loading package common_pkg
2059 # -- Loading package extension_pkg
2060 # -- Loading package core_pkg
2061 # -- Loading package mem_pkg
2062 # -- Compiling architecture behav of fetch_stage
2063 # -- Loading entity fetch_stage
2064 # ** Warning: [14] ../src/fetch_stage_b.vhd(100): (vcom-1272) Length of expected is 16; length of actual is 11.
2065 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2066 # -- Loading package standard
2067 # -- Loading package std_logic_1164
2068 # -- Loading package numeric_std
2069 # -- Loading package common_pkg
2070 # -- Loading package extension_pkg
2071 # -- Loading package core_pkg
2072 # -- Compiling entity decode_stage
2073 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2074 # -- Loading package standard
2075 # -- Loading package std_logic_1164
2076 # -- Loading package numeric_std
2077 # -- Loading package mem_pkg
2078 # -- Loading package common_pkg
2079 # -- Loading package extension_pkg
2080 # -- Loading package core_pkg
2081 # -- Compiling architecture behav of decode_stage
2082 # -- Loading entity decode_stage
2083 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2084 # -- Loading package standard
2085 # -- Loading package std_logic_1164
2086 # -- Loading package numeric_std
2087 # -- Loading package common_pkg
2088 # -- Loading package extension_pkg
2089 # -- Compiling package alu_pkg
2090 # -- Compiling package body alu_pkg
2091 # -- Loading package alu_pkg
2092 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2093 # -- Loading package standard
2094 # -- Loading package std_logic_1164
2095 # -- Loading package numeric_std
2096 # -- Loading package common_pkg
2097 # -- Compiling package extension_pkg
2098 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2099 # -- Loading package standard
2100 # -- Loading package std_logic_1164
2101 # -- Loading package numeric_std
2102 # -- Loading package common_pkg
2103 # -- Loading package extension_pkg
2104 # -- Loading package alu_pkg
2105 # -- Compiling entity exec_op
2106 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2107 # -- Loading package standard
2108 # -- Loading package std_logic_1164
2109 # -- Loading package numeric_std
2110 # -- Loading package common_pkg
2111 # -- Loading package extension_pkg
2112 # -- Loading package alu_pkg
2113 # -- Compiling architecture add_op of exec_op
2114 # -- Loading entity exec_op
2115 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2116 # -- Loading package standard
2117 # -- Loading package std_logic_1164
2118 # -- Loading package numeric_std
2119 # -- Loading package common_pkg
2120 # -- Loading package extension_pkg
2121 # -- Loading package alu_pkg
2122 # -- Compiling architecture and_op of exec_op
2123 # -- Loading entity exec_op
2124 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2125 # -- Loading package standard
2126 # -- Loading package std_logic_1164
2127 # -- Loading package numeric_std
2128 # -- Loading package common_pkg
2129 # -- Loading package extension_pkg
2130 # -- Loading package alu_pkg
2131 # -- Compiling architecture or_op of exec_op
2132 # -- Loading entity exec_op
2133 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2134 # -- Loading package standard
2135 # -- Loading package std_logic_1164
2136 # -- Loading package numeric_std
2137 # -- Loading package common_pkg
2138 # -- Loading package extension_pkg
2139 # -- Loading package alu_pkg
2140 # -- Compiling architecture xor_op of exec_op
2141 # -- Loading entity exec_op
2142 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2143 # -- Loading package standard
2144 # -- Loading package std_logic_1164
2145 # -- Loading package numeric_std
2146 # -- Loading package common_pkg
2147 # -- Loading package extension_pkg
2148 # -- Loading package alu_pkg
2149 # -- Compiling architecture shift_op of exec_op
2150 # -- Loading entity exec_op
2151 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2152 # -- Loading package standard
2153 # -- Loading package std_logic_1164
2154 # -- Loading package numeric_std
2155 # -- Loading package common_pkg
2156 # -- Loading package extension_pkg
2157 # -- Loading package alu_pkg
2158 # -- Compiling entity alu
2159 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2160 # -- Loading package standard
2161 # -- Loading package std_logic_1164
2162 # -- Loading package numeric_std
2163 # -- Loading package common_pkg
2164 # -- Loading package extension_pkg
2165 # -- Loading package alu_pkg
2166 # -- Compiling architecture behaviour of alu
2167 # -- Loading entity alu
2168 # -- Loading entity exec_op
2169 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2170 # -- Loading package standard
2171 # -- Loading package std_logic_1164
2172 # -- Loading package numeric_std
2173 # -- Loading package common_pkg
2174 # -- Compiling package extension_pkg
2175 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2176 # -- Loading package standard
2177 # -- Loading package std_logic_1164
2178 # -- Loading package numeric_std
2179 # -- Loading package common_pkg
2180 # -- Loading package extension_pkg
2181 # -- Compiling entity extension_gpm
2182 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2183 # -- Loading package standard
2184 # -- Loading package std_logic_1164
2185 # -- Loading package numeric_std
2186 # -- Loading package common_pkg
2187 # -- Loading package extension_pkg
2188 # -- Loading package core_pkg
2189 # -- Loading package mem_pkg
2190 # -- Compiling architecture behav of extension_gpm
2191 # -- Loading entity extension_gpm
2192 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2193 # -- Loading package standard
2194 # -- Loading package std_logic_1164
2195 # -- Loading package numeric_std
2196 # -- Loading package common_pkg
2197 # -- Loading package extension_pkg
2198 # -- Loading package alu_pkg
2199 # -- Compiling entity execute_stage
2200 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2201 # -- Loading package standard
2202 # -- Loading package std_logic_1164
2203 # -- Loading package numeric_std
2204 # -- Loading package common_pkg
2205 # -- Loading package extension_pkg
2206 # -- Loading package alu_pkg
2207 # -- Compiling architecture behav of execute_stage
2208 # -- Loading entity execute_stage
2209 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2210 # -- Loading package standard
2211 # -- Loading package std_logic_1164
2212 # -- Loading package numeric_std
2213 # -- Loading package common_pkg
2214 # -- Compiling entity writeback_stage
2215 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2216 # -- Loading package standard
2217 # -- Loading package std_logic_1164
2218 # -- Loading package numeric_std
2219 # -- Loading package common_pkg
2220 # -- Loading package extension_pkg
2221 # -- Loading package core_pkg
2222 # -- Loading package mem_pkg
2223 # -- Loading package extension_uart_pkg
2224 # -- Loading package extension_7seg_pkg
2225 # -- Compiling architecture behav of writeback_stage
2226 # -- Loading entity writeback_stage
2227 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
2228 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
2229 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
2230 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
2231 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
2232 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2233 # -- Loading package standard
2234 # -- Loading package std_logic_1164
2235 # -- Loading package numeric_std
2236 # -- Loading package common_pkg
2237 # -- Loading package extension_pkg
2238 # -- Loading package core_pkg
2239 # -- Compiling entity pipeline_tb
2240 # -- Compiling architecture behavior of pipeline_tb
2241 # ** Error: ../src/pipeline_tb.vhd(102): (vcom-1035) Formal port "int_req" has OPEN or no actual associated with it.
2242 # ** Error: ../src/pipeline_tb.vhd(223): VHDL Compiler exiting
2243 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
2244 # Error in macro ./testcore.do line 64
2245 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
2246 #     while executing
2247 # "vcom -work work ../src/pipeline_tb.vhd"
2248 do testcore.do
2249 # ** Warning: (vlib-34) Library already exists at "work".
2250 # Modifying modelsim.ini
2251 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2252 # -- Loading package standard
2253 # -- Loading package std_logic_1164
2254 # -- Loading package numeric_std
2255 # -- Compiling package mem_pkg
2256 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2257 # -- Loading package standard
2258 # -- Loading package std_logic_1164
2259 # -- Loading package numeric_std
2260 # -- Compiling entity r_w_ram
2261 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2262 # -- Loading package standard
2263 # -- Loading package std_logic_1164
2264 # -- Loading package numeric_std
2265 # -- Loading package mem_pkg
2266 # -- Compiling architecture behaviour of r_w_ram
2267 # -- Loading entity r_w_ram
2268 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2269 # -- Loading package standard
2270 # -- Loading package std_logic_1164
2271 # -- Loading package numeric_std
2272 # -- Compiling entity r_w_ram_be
2273 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2274 # -- Loading package standard
2275 # -- Loading package std_logic_1164
2276 # -- Loading package numeric_std
2277 # -- Loading package mem_pkg
2278 # -- Compiling architecture behaviour of r_w_ram_be
2279 # -- Loading entity r_w_ram_be
2280 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2281 # -- Loading package standard
2282 # -- Loading package std_logic_1164
2283 # -- Loading package numeric_std
2284 # -- Loading package mem_pkg
2285 # -- Compiling entity r2_w_ram
2286 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2287 # -- Loading package standard
2288 # -- Loading package std_logic_1164
2289 # -- Loading package numeric_std
2290 # -- Loading package mem_pkg
2291 # -- Compiling architecture behaviour of r2_w_ram
2292 # -- Loading entity r2_w_ram
2293 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2294 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2295 # -- Loading package standard
2296 # -- Loading package std_logic_1164
2297 # -- Loading package numeric_std
2298 # -- Compiling entity rom
2299 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2300 # -- Loading package standard
2301 # -- Loading package std_logic_1164
2302 # -- Loading package numeric_std
2303 # -- Loading package mem_pkg
2304 # -- Compiling architecture behaviour of rom
2305 # -- Loading entity rom
2306 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2307 # -- Loading package standard
2308 # -- Loading package std_logic_1164
2309 # -- Loading package numeric_std
2310 # -- Compiling package common_pkg
2311 # -- Compiling package body common_pkg
2312 # -- Loading package common_pkg
2313 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2314 # -- Loading package standard
2315 # -- Loading package std_logic_1164
2316 # -- Loading package numeric_std
2317 # -- Loading package common_pkg
2318 # -- Compiling package extension_pkg
2319 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2320 # -- Loading package standard
2321 # -- Loading package std_logic_1164
2322 # -- Loading package numeric_std
2323 # -- Loading package common_pkg
2324 # -- Loading package extension_pkg
2325 # -- Compiling package core_pkg
2326 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2327 # -- Loading package standard
2328 # -- Loading package std_logic_1164
2329 # -- Loading package numeric_std
2330 # -- Loading package common_pkg
2331 # -- Loading package extension_pkg
2332 # -- Compiling package extension_uart_pkg
2333 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2334 # -- Loading package standard
2335 # -- Loading package std_logic_1164
2336 # -- Loading package numeric_std
2337 # -- Loading package common_pkg
2338 # -- Loading package extension_pkg
2339 # -- Loading package extension_uart_pkg
2340 # -- Compiling entity extension_uart
2341 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2342 # -- Loading package standard
2343 # -- Loading package std_logic_1164
2344 # -- Loading package numeric_std
2345 # -- Loading package common_pkg
2346 # -- Loading package extension_pkg
2347 # -- Loading package core_pkg
2348 # -- Loading package mem_pkg
2349 # -- Loading package extension_uart_pkg
2350 # -- Compiling architecture behav of extension_uart
2351 # -- Loading entity extension_uart
2352 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2353 # -- Loading package standard
2354 # -- Loading package std_logic_1164
2355 # -- Loading package numeric_std
2356 # -- Loading package common_pkg
2357 # -- Loading package extension_pkg
2358 # -- Compiling entity extension_interrupt
2359 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2360 # -- Loading package standard
2361 # -- Loading package std_logic_1164
2362 # -- Loading package numeric_std
2363 # -- Loading package common_pkg
2364 # -- Loading package extension_pkg
2365 # -- Compiling architecture behav of extension_interrupt
2366 # -- Loading entity extension_interrupt
2367 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2368 # -- Loading package standard
2369 # -- Loading package std_logic_1164
2370 # -- Loading package numeric_std
2371 # -- Loading package common_pkg
2372 # -- Loading package extension_pkg
2373 # -- Compiling package extension_7seg_pkg
2374 # -- Compiling package body extension_7seg_pkg
2375 # -- Loading package extension_7seg_pkg
2376 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2377 # -- Loading package standard
2378 # -- Loading package std_logic_1164
2379 # -- Loading package numeric_std
2380 # -- Loading package common_pkg
2381 # -- Loading package extension_pkg
2382 # -- Loading package extension_7seg_pkg
2383 # -- Compiling entity extension_7seg
2384 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2385 # -- Loading package standard
2386 # -- Loading package std_logic_1164
2387 # -- Loading package numeric_std
2388 # -- Loading package common_pkg
2389 # -- Loading package extension_pkg
2390 # -- Loading package core_pkg
2391 # -- Loading package mem_pkg
2392 # -- Loading package extension_7seg_pkg
2393 # -- Compiling architecture behav of extension_7seg
2394 # -- Loading entity extension_7seg
2395 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2396 # -- Loading package standard
2397 # -- Loading package std_logic_1164
2398 # -- Loading package std_logic_arith
2399 # -- Loading package std_logic_unsigned
2400 # -- Loading package numeric_std
2401 # -- Loading package common_pkg
2402 # -- Loading package extension_pkg
2403 # -- Loading package core_pkg
2404 # -- Loading package extension_uart_pkg
2405 # -- Compiling entity rs232_tx
2406 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2407 # -- Loading package standard
2408 # -- Loading package std_logic_1164
2409 # -- Loading package numeric_std
2410 # -- Loading package common_pkg
2411 # -- Loading package extension_pkg
2412 # -- Loading package core_pkg
2413 # -- Loading package extension_uart_pkg
2414 # -- Compiling architecture beh of rs232_tx
2415 # -- Loading package std_logic_arith
2416 # -- Loading package std_logic_unsigned
2417 # -- Loading entity rs232_tx
2418 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2419 # -- Loading package standard
2420 # -- Loading package std_logic_1164
2421 # -- Loading package std_logic_arith
2422 # -- Loading package std_logic_unsigned
2423 # -- Loading package numeric_std
2424 # -- Loading package common_pkg
2425 # -- Loading package extension_pkg
2426 # -- Loading package core_pkg
2427 # -- Loading package extension_uart_pkg
2428 # -- Compiling entity rs232_rx
2429 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2430 # -- Loading package standard
2431 # -- Loading package std_logic_1164
2432 # -- Loading package numeric_std
2433 # -- Loading package common_pkg
2434 # -- Loading package extension_pkg
2435 # -- Loading package extension_uart_pkg
2436 # -- Loading package core_pkg
2437 # -- Compiling architecture beh of rs232_rx
2438 # -- Loading package std_logic_arith
2439 # -- Loading package std_logic_unsigned
2440 # -- Loading entity rs232_rx
2441 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2442 # -- Loading package standard
2443 # -- Loading package std_logic_1164
2444 # -- Loading package numeric_std
2445 # -- Loading package common_pkg
2446 # -- Loading package extension_pkg
2447 # -- Loading package core_pkg
2448 # -- Compiling entity decoder
2449 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2450 # -- Loading package standard
2451 # -- Loading package std_logic_1164
2452 # -- Loading package numeric_std
2453 # -- Loading package mem_pkg
2454 # -- Loading package common_pkg
2455 # -- Loading package extension_pkg
2456 # -- Loading package core_pkg
2457 # -- Compiling architecture behav_d of decoder
2458 # -- Loading entity decoder
2459 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2460 # -- Loading package standard
2461 # -- Loading package std_logic_1164
2462 # -- Loading package numeric_std
2463 # -- Loading package common_pkg
2464 # -- Loading package extension_pkg
2465 # -- Loading package core_pkg
2466 # -- Compiling entity fetch_stage
2467 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2468 # -- Loading package standard
2469 # -- Loading package std_logic_1164
2470 # -- Loading package numeric_std
2471 # -- Loading package common_pkg
2472 # -- Loading package extension_pkg
2473 # -- Loading package core_pkg
2474 # -- Loading package mem_pkg
2475 # -- Compiling architecture behav of fetch_stage
2476 # -- Loading entity fetch_stage
2477 # ** Warning: [14] ../src/fetch_stage_b.vhd(100): (vcom-1272) Length of expected is 16; length of actual is 11.
2478 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2479 # -- Loading package standard
2480 # -- Loading package std_logic_1164
2481 # -- Loading package numeric_std
2482 # -- Loading package common_pkg
2483 # -- Loading package extension_pkg
2484 # -- Loading package core_pkg
2485 # -- Compiling entity decode_stage
2486 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2487 # -- Loading package standard
2488 # -- Loading package std_logic_1164
2489 # -- Loading package numeric_std
2490 # -- Loading package mem_pkg
2491 # -- Loading package common_pkg
2492 # -- Loading package extension_pkg
2493 # -- Loading package core_pkg
2494 # -- Compiling architecture behav of decode_stage
2495 # -- Loading entity decode_stage
2496 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2497 # -- Loading package standard
2498 # -- Loading package std_logic_1164
2499 # -- Loading package numeric_std
2500 # -- Loading package common_pkg
2501 # -- Loading package extension_pkg
2502 # -- Compiling package alu_pkg
2503 # -- Compiling package body alu_pkg
2504 # -- Loading package alu_pkg
2505 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2506 # -- Loading package standard
2507 # -- Loading package std_logic_1164
2508 # -- Loading package numeric_std
2509 # -- Loading package common_pkg
2510 # -- Compiling package extension_pkg
2511 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2512 # -- Loading package standard
2513 # -- Loading package std_logic_1164
2514 # -- Loading package numeric_std
2515 # -- Loading package common_pkg
2516 # -- Loading package extension_pkg
2517 # -- Loading package alu_pkg
2518 # -- Compiling entity exec_op
2519 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2520 # -- Loading package standard
2521 # -- Loading package std_logic_1164
2522 # -- Loading package numeric_std
2523 # -- Loading package common_pkg
2524 # -- Loading package extension_pkg
2525 # -- Loading package alu_pkg
2526 # -- Compiling architecture add_op of exec_op
2527 # -- Loading entity exec_op
2528 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2529 # -- Loading package standard
2530 # -- Loading package std_logic_1164
2531 # -- Loading package numeric_std
2532 # -- Loading package common_pkg
2533 # -- Loading package extension_pkg
2534 # -- Loading package alu_pkg
2535 # -- Compiling architecture and_op of exec_op
2536 # -- Loading entity exec_op
2537 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2538 # -- Loading package standard
2539 # -- Loading package std_logic_1164
2540 # -- Loading package numeric_std
2541 # -- Loading package common_pkg
2542 # -- Loading package extension_pkg
2543 # -- Loading package alu_pkg
2544 # -- Compiling architecture or_op of exec_op
2545 # -- Loading entity exec_op
2546 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2547 # -- Loading package standard
2548 # -- Loading package std_logic_1164
2549 # -- Loading package numeric_std
2550 # -- Loading package common_pkg
2551 # -- Loading package extension_pkg
2552 # -- Loading package alu_pkg
2553 # -- Compiling architecture xor_op of exec_op
2554 # -- Loading entity exec_op
2555 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2556 # -- Loading package standard
2557 # -- Loading package std_logic_1164
2558 # -- Loading package numeric_std
2559 # -- Loading package common_pkg
2560 # -- Loading package extension_pkg
2561 # -- Loading package alu_pkg
2562 # -- Compiling architecture shift_op of exec_op
2563 # -- Loading entity exec_op
2564 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2565 # -- Loading package standard
2566 # -- Loading package std_logic_1164
2567 # -- Loading package numeric_std
2568 # -- Loading package common_pkg
2569 # -- Loading package extension_pkg
2570 # -- Loading package alu_pkg
2571 # -- Compiling entity alu
2572 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2573 # -- Loading package standard
2574 # -- Loading package std_logic_1164
2575 # -- Loading package numeric_std
2576 # -- Loading package common_pkg
2577 # -- Loading package extension_pkg
2578 # -- Loading package alu_pkg
2579 # -- Compiling architecture behaviour of alu
2580 # -- Loading entity alu
2581 # -- Loading entity exec_op
2582 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2583 # -- Loading package standard
2584 # -- Loading package std_logic_1164
2585 # -- Loading package numeric_std
2586 # -- Loading package common_pkg
2587 # -- Compiling package extension_pkg
2588 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2589 # -- Loading package standard
2590 # -- Loading package std_logic_1164
2591 # -- Loading package numeric_std
2592 # -- Loading package common_pkg
2593 # -- Loading package extension_pkg
2594 # -- Compiling entity extension_gpm
2595 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2596 # -- Loading package standard
2597 # -- Loading package std_logic_1164
2598 # -- Loading package numeric_std
2599 # -- Loading package common_pkg
2600 # -- Loading package extension_pkg
2601 # -- Loading package core_pkg
2602 # -- Loading package mem_pkg
2603 # -- Compiling architecture behav of extension_gpm
2604 # -- Loading entity extension_gpm
2605 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2606 # -- Loading package standard
2607 # -- Loading package std_logic_1164
2608 # -- Loading package numeric_std
2609 # -- Loading package common_pkg
2610 # -- Loading package extension_pkg
2611 # -- Loading package alu_pkg
2612 # -- Compiling entity execute_stage
2613 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2614 # -- Loading package standard
2615 # -- Loading package std_logic_1164
2616 # -- Loading package numeric_std
2617 # -- Loading package common_pkg
2618 # -- Loading package extension_pkg
2619 # -- Loading package alu_pkg
2620 # -- Compiling architecture behav of execute_stage
2621 # -- Loading entity execute_stage
2622 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2623 # -- Loading package standard
2624 # -- Loading package std_logic_1164
2625 # -- Loading package numeric_std
2626 # -- Loading package common_pkg
2627 # -- Compiling entity writeback_stage
2628 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2629 # -- Loading package standard
2630 # -- Loading package std_logic_1164
2631 # -- Loading package numeric_std
2632 # -- Loading package common_pkg
2633 # -- Loading package extension_pkg
2634 # -- Loading package core_pkg
2635 # -- Loading package mem_pkg
2636 # -- Loading package extension_uart_pkg
2637 # -- Loading package extension_7seg_pkg
2638 # -- Compiling architecture behav of writeback_stage
2639 # -- Loading entity writeback_stage
2640 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
2641 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
2642 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
2643 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
2644 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
2645 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2646 # -- Loading package standard
2647 # -- Loading package std_logic_1164
2648 # -- Loading package numeric_std
2649 # -- Loading package common_pkg
2650 # -- Loading package extension_pkg
2651 # -- Loading package core_pkg
2652 # -- Compiling entity pipeline_tb
2653 # -- Compiling architecture behavior of pipeline_tb
2654 # -- Compiling configuration pipeline_conf_beh
2655 # -- Loading entity pipeline_tb
2656 # -- Loading architecture behavior of pipeline_tb
2657 # -- Loading entity fetch_stage
2658 # -- Loading entity decode_stage
2659 # -- Loading package alu_pkg
2660 # -- Loading entity execute_stage
2661 # -- Loading entity writeback_stage
2662 # vsim -t ns work.pipeline_conf_beh 
2663 # Loading std.standard
2664 # Loading ieee.std_logic_1164(body)
2665 # Loading ieee.numeric_std(body)
2666 # Loading work.common_pkg(body)
2667 # Loading work.extension_pkg
2668 # Loading work.core_pkg
2669 # Loading work.alu_pkg(body)
2670 # Loading work.pipeline_conf_beh
2671 # Loading work.pipeline_tb(behavior)
2672 # Loading work.mem_pkg
2673 # Loading work.fetch_stage(behav)
2674 # Loading work.r_w_ram(behaviour)
2675 # Loading work.rom(behaviour)
2676 # Loading work.decode_stage(behav)
2677 # Loading work.r2_w_ram(behaviour)
2678 # Loading work.decoder(behav_d)
2679 # Loading work.execute_stage(behav)
2680 # Loading work.alu(behaviour)
2681 # Loading work.exec_op(add_op)
2682 # Loading work.exec_op(and_op)
2683 # Loading work.exec_op(or_op)
2684 # Loading work.exec_op(xor_op)
2685 # Loading work.exec_op(shift_op)
2686 # Loading work.extension_gpm(behav)
2687 # Loading work.extension_uart_pkg
2688 # Loading work.extension_7seg_pkg(body)
2689 # Loading work.writeback_stage(behav)
2690 # Loading work.r_w_ram_be(behaviour)
2691 # Loading work.extension_uart(behav)
2692 # Loading ieee.std_logic_arith(body)
2693 # Loading ieee.std_logic_unsigned(body)
2694 # Loading work.rs232_tx(beh)
2695 # Loading work.rs232_rx(beh)
2696 # Loading work.extension_7seg(behav)
2697 # Loading work.extension_interrupt(behav)
2698 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2699 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
2700 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2701 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
2702 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2703 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2704 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2705 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
2706 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2707 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2708 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2709 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2710 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2711 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2712 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2713 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
2714 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
2715 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
2716 do testcore.do
2717 # ** Warning: (vlib-34) Library already exists at "work".
2718 # Modifying modelsim.ini
2719 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2720 # -- Loading package standard
2721 # -- Loading package std_logic_1164
2722 # -- Loading package numeric_std
2723 # -- Compiling package mem_pkg
2724 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2725 # -- Loading package standard
2726 # -- Loading package std_logic_1164
2727 # -- Loading package numeric_std
2728 # -- Compiling entity r_w_ram
2729 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2730 # -- Loading package standard
2731 # -- Loading package std_logic_1164
2732 # -- Loading package numeric_std
2733 # -- Loading package mem_pkg
2734 # -- Compiling architecture behaviour of r_w_ram
2735 # -- Loading entity r_w_ram
2736 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2737 # -- Loading package standard
2738 # -- Loading package std_logic_1164
2739 # -- Loading package numeric_std
2740 # -- Compiling entity r_w_ram_be
2741 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2742 # -- Loading package standard
2743 # -- Loading package std_logic_1164
2744 # -- Loading package numeric_std
2745 # -- Loading package mem_pkg
2746 # -- Compiling architecture behaviour of r_w_ram_be
2747 # -- Loading entity r_w_ram_be
2748 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2749 # -- Loading package standard
2750 # -- Loading package std_logic_1164
2751 # -- Loading package numeric_std
2752 # -- Loading package mem_pkg
2753 # -- Compiling entity r2_w_ram
2754 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2755 # -- Loading package standard
2756 # -- Loading package std_logic_1164
2757 # -- Loading package numeric_std
2758 # -- Loading package mem_pkg
2759 # -- Compiling architecture behaviour of r2_w_ram
2760 # -- Loading entity r2_w_ram
2761 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
2762 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2763 # -- Loading package standard
2764 # -- Loading package std_logic_1164
2765 # -- Loading package numeric_std
2766 # -- Compiling entity rom
2767 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2768 # -- Loading package standard
2769 # -- Loading package std_logic_1164
2770 # -- Loading package numeric_std
2771 # -- Loading package mem_pkg
2772 # -- Compiling architecture behaviour of rom
2773 # -- Loading entity rom
2774 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2775 # -- Loading package standard
2776 # -- Loading package std_logic_1164
2777 # -- Loading package numeric_std
2778 # -- Compiling package common_pkg
2779 # -- Compiling package body common_pkg
2780 # -- Loading package common_pkg
2781 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2782 # -- Loading package standard
2783 # -- Loading package std_logic_1164
2784 # -- Loading package numeric_std
2785 # -- Loading package common_pkg
2786 # -- Compiling package extension_pkg
2787 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2788 # -- Loading package standard
2789 # -- Loading package std_logic_1164
2790 # -- Loading package numeric_std
2791 # -- Loading package common_pkg
2792 # -- Loading package extension_pkg
2793 # -- Compiling package core_pkg
2794 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2795 # -- Loading package standard
2796 # -- Loading package std_logic_1164
2797 # -- Loading package numeric_std
2798 # -- Loading package common_pkg
2799 # -- Loading package extension_pkg
2800 # -- Compiling package extension_uart_pkg
2801 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2802 # -- Loading package standard
2803 # -- Loading package std_logic_1164
2804 # -- Loading package numeric_std
2805 # -- Loading package common_pkg
2806 # -- Loading package extension_pkg
2807 # -- Loading package extension_uart_pkg
2808 # -- Compiling entity extension_uart
2809 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2810 # -- Loading package standard
2811 # -- Loading package std_logic_1164
2812 # -- Loading package numeric_std
2813 # -- Loading package common_pkg
2814 # -- Loading package extension_pkg
2815 # -- Loading package core_pkg
2816 # -- Loading package mem_pkg
2817 # -- Loading package extension_uart_pkg
2818 # -- Compiling architecture behav of extension_uart
2819 # -- Loading entity extension_uart
2820 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2821 # -- Loading package standard
2822 # -- Loading package std_logic_1164
2823 # -- Loading package numeric_std
2824 # -- Loading package common_pkg
2825 # -- Loading package extension_pkg
2826 # -- Compiling entity extension_interrupt
2827 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2828 # -- Loading package standard
2829 # -- Loading package std_logic_1164
2830 # -- Loading package numeric_std
2831 # -- Loading package common_pkg
2832 # -- Loading package extension_pkg
2833 # -- Compiling architecture behav of extension_interrupt
2834 # -- Loading entity extension_interrupt
2835 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2836 # -- Loading package standard
2837 # -- Loading package std_logic_1164
2838 # -- Loading package numeric_std
2839 # -- Loading package common_pkg
2840 # -- Loading package extension_pkg
2841 # -- Compiling package extension_7seg_pkg
2842 # -- Compiling package body extension_7seg_pkg
2843 # -- Loading package extension_7seg_pkg
2844 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2845 # -- Loading package standard
2846 # -- Loading package std_logic_1164
2847 # -- Loading package numeric_std
2848 # -- Loading package common_pkg
2849 # -- Loading package extension_pkg
2850 # -- Loading package extension_7seg_pkg
2851 # -- Compiling entity extension_7seg
2852 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2853 # -- Loading package standard
2854 # -- Loading package std_logic_1164
2855 # -- Loading package numeric_std
2856 # -- Loading package common_pkg
2857 # -- Loading package extension_pkg
2858 # -- Loading package core_pkg
2859 # -- Loading package mem_pkg
2860 # -- Loading package extension_7seg_pkg
2861 # -- Compiling architecture behav of extension_7seg
2862 # -- Loading entity extension_7seg
2863 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2864 # -- Loading package standard
2865 # -- Loading package std_logic_1164
2866 # -- Loading package std_logic_arith
2867 # -- Loading package std_logic_unsigned
2868 # -- Loading package numeric_std
2869 # -- Loading package common_pkg
2870 # -- Loading package extension_pkg
2871 # -- Loading package core_pkg
2872 # -- Loading package extension_uart_pkg
2873 # -- Compiling entity rs232_tx
2874 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2875 # -- Loading package standard
2876 # -- Loading package std_logic_1164
2877 # -- Loading package numeric_std
2878 # -- Loading package common_pkg
2879 # -- Loading package extension_pkg
2880 # -- Loading package core_pkg
2881 # -- Loading package extension_uart_pkg
2882 # -- Compiling architecture beh of rs232_tx
2883 # -- Loading package std_logic_arith
2884 # -- Loading package std_logic_unsigned
2885 # -- Loading entity rs232_tx
2886 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2887 # -- Loading package standard
2888 # -- Loading package std_logic_1164
2889 # -- Loading package std_logic_arith
2890 # -- Loading package std_logic_unsigned
2891 # -- Loading package numeric_std
2892 # -- Loading package common_pkg
2893 # -- Loading package extension_pkg
2894 # -- Loading package core_pkg
2895 # -- Loading package extension_uart_pkg
2896 # -- Compiling entity rs232_rx
2897 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2898 # -- Loading package standard
2899 # -- Loading package std_logic_1164
2900 # -- Loading package numeric_std
2901 # -- Loading package common_pkg
2902 # -- Loading package extension_pkg
2903 # -- Loading package extension_uart_pkg
2904 # -- Loading package core_pkg
2905 # -- Compiling architecture beh of rs232_rx
2906 # -- Loading package std_logic_arith
2907 # -- Loading package std_logic_unsigned
2908 # -- Loading entity rs232_rx
2909 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2910 # -- Loading package standard
2911 # -- Loading package std_logic_1164
2912 # -- Loading package numeric_std
2913 # -- Loading package common_pkg
2914 # -- Loading package extension_pkg
2915 # -- Loading package core_pkg
2916 # -- Compiling entity decoder
2917 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2918 # -- Loading package standard
2919 # -- Loading package std_logic_1164
2920 # -- Loading package numeric_std
2921 # -- Loading package mem_pkg
2922 # -- Loading package common_pkg
2923 # -- Loading package extension_pkg
2924 # -- Loading package core_pkg
2925 # -- Compiling architecture behav_d of decoder
2926 # -- Loading entity decoder
2927 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2928 # -- Loading package standard
2929 # -- Loading package std_logic_1164
2930 # -- Loading package numeric_std
2931 # -- Loading package common_pkg
2932 # -- Loading package extension_pkg
2933 # -- Loading package core_pkg
2934 # -- Compiling entity fetch_stage
2935 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2936 # -- Loading package standard
2937 # -- Loading package std_logic_1164
2938 # -- Loading package numeric_std
2939 # -- Loading package common_pkg
2940 # -- Loading package extension_pkg
2941 # -- Loading package core_pkg
2942 # -- Loading package mem_pkg
2943 # -- Compiling architecture behav of fetch_stage
2944 # -- Loading entity fetch_stage
2945 # ** Warning: [14] ../src/fetch_stage_b.vhd(100): (vcom-1272) Length of expected is 16; length of actual is 11.
2946 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2947 # -- Loading package standard
2948 # -- Loading package std_logic_1164
2949 # -- Loading package numeric_std
2950 # -- Loading package common_pkg
2951 # -- Loading package extension_pkg
2952 # -- Loading package core_pkg
2953 # -- Compiling entity decode_stage
2954 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2955 # -- Loading package standard
2956 # -- Loading package std_logic_1164
2957 # -- Loading package numeric_std
2958 # -- Loading package mem_pkg
2959 # -- Loading package common_pkg
2960 # -- Loading package extension_pkg
2961 # -- Loading package core_pkg
2962 # -- Compiling architecture behav of decode_stage
2963 # -- Loading entity decode_stage
2964 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2965 # -- Loading package standard
2966 # -- Loading package std_logic_1164
2967 # -- Loading package numeric_std
2968 # -- Loading package common_pkg
2969 # -- Loading package extension_pkg
2970 # -- Compiling package alu_pkg
2971 # -- Compiling package body alu_pkg
2972 # -- Loading package alu_pkg
2973 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2974 # -- Loading package standard
2975 # -- Loading package std_logic_1164
2976 # -- Loading package numeric_std
2977 # -- Loading package common_pkg
2978 # -- Compiling package extension_pkg
2979 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2980 # -- Loading package standard
2981 # -- Loading package std_logic_1164
2982 # -- Loading package numeric_std
2983 # -- Loading package common_pkg
2984 # -- Loading package extension_pkg
2985 # -- Loading package alu_pkg
2986 # -- Compiling entity exec_op
2987 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2988 # -- Loading package standard
2989 # -- Loading package std_logic_1164
2990 # -- Loading package numeric_std
2991 # -- Loading package common_pkg
2992 # -- Loading package extension_pkg
2993 # -- Loading package alu_pkg
2994 # -- Compiling architecture add_op of exec_op
2995 # -- Loading entity exec_op
2996 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
2997 # -- Loading package standard
2998 # -- Loading package std_logic_1164
2999 # -- Loading package numeric_std
3000 # -- Loading package common_pkg
3001 # -- Loading package extension_pkg
3002 # -- Loading package alu_pkg
3003 # -- Compiling architecture and_op of exec_op
3004 # -- Loading entity exec_op
3005 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3006 # -- Loading package standard
3007 # -- Loading package std_logic_1164
3008 # -- Loading package numeric_std
3009 # -- Loading package common_pkg
3010 # -- Loading package extension_pkg
3011 # -- Loading package alu_pkg
3012 # -- Compiling architecture or_op of exec_op
3013 # -- Loading entity exec_op
3014 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3015 # -- Loading package standard
3016 # -- Loading package std_logic_1164
3017 # -- Loading package numeric_std
3018 # -- Loading package common_pkg
3019 # -- Loading package extension_pkg
3020 # -- Loading package alu_pkg
3021 # -- Compiling architecture xor_op of exec_op
3022 # -- Loading entity exec_op
3023 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3024 # -- Loading package standard
3025 # -- Loading package std_logic_1164
3026 # -- Loading package numeric_std
3027 # -- Loading package common_pkg
3028 # -- Loading package extension_pkg
3029 # -- Loading package alu_pkg
3030 # -- Compiling architecture shift_op of exec_op
3031 # -- Loading entity exec_op
3032 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3033 # -- Loading package standard
3034 # -- Loading package std_logic_1164
3035 # -- Loading package numeric_std
3036 # -- Loading package common_pkg
3037 # -- Loading package extension_pkg
3038 # -- Loading package alu_pkg
3039 # -- Compiling entity alu
3040 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3041 # -- Loading package standard
3042 # -- Loading package std_logic_1164
3043 # -- Loading package numeric_std
3044 # -- Loading package common_pkg
3045 # -- Loading package extension_pkg
3046 # -- Loading package alu_pkg
3047 # -- Compiling architecture behaviour of alu
3048 # -- Loading entity alu
3049 # -- Loading entity exec_op
3050 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3051 # -- Loading package standard
3052 # -- Loading package std_logic_1164
3053 # -- Loading package numeric_std
3054 # -- Loading package common_pkg
3055 # -- Compiling package extension_pkg
3056 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3057 # -- Loading package standard
3058 # -- Loading package std_logic_1164
3059 # -- Loading package numeric_std
3060 # -- Loading package common_pkg
3061 # -- Loading package extension_pkg
3062 # -- Compiling entity extension_gpm
3063 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3064 # -- Loading package standard
3065 # -- Loading package std_logic_1164
3066 # -- Loading package numeric_std
3067 # -- Loading package common_pkg
3068 # -- Loading package extension_pkg
3069 # -- Loading package core_pkg
3070 # -- Loading package mem_pkg
3071 # -- Compiling architecture behav of extension_gpm
3072 # -- Loading entity extension_gpm
3073 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3074 # -- Loading package standard
3075 # -- Loading package std_logic_1164
3076 # -- Loading package numeric_std
3077 # -- Loading package common_pkg
3078 # -- Loading package extension_pkg
3079 # -- Loading package alu_pkg
3080 # -- Compiling entity execute_stage
3081 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3082 # -- Loading package standard
3083 # -- Loading package std_logic_1164
3084 # -- Loading package numeric_std
3085 # -- Loading package common_pkg
3086 # -- Loading package extension_pkg
3087 # -- Loading package alu_pkg
3088 # -- Compiling architecture behav of execute_stage
3089 # -- Loading entity execute_stage
3090 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3091 # -- Loading package standard
3092 # -- Loading package std_logic_1164
3093 # -- Loading package numeric_std
3094 # -- Loading package common_pkg
3095 # -- Compiling entity writeback_stage
3096 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3097 # -- Loading package standard
3098 # -- Loading package std_logic_1164
3099 # -- Loading package numeric_std
3100 # -- Loading package common_pkg
3101 # -- Loading package extension_pkg
3102 # -- Loading package core_pkg
3103 # -- Loading package mem_pkg
3104 # -- Loading package extension_uart_pkg
3105 # -- Loading package extension_7seg_pkg
3106 # -- Compiling architecture behav of writeback_stage
3107 # -- Loading entity writeback_stage
3108 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
3109 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
3110 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
3111 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
3112 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
3113 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3114 # -- Loading package standard
3115 # -- Loading package std_logic_1164
3116 # -- Loading package numeric_std
3117 # -- Loading package common_pkg
3118 # -- Loading package extension_pkg
3119 # -- Loading package core_pkg
3120 # -- Compiling entity pipeline_tb
3121 # -- Compiling architecture behavior of pipeline_tb
3122 # -- Compiling configuration pipeline_conf_beh
3123 # -- Loading entity pipeline_tb
3124 # -- Loading architecture behavior of pipeline_tb
3125 # -- Loading entity fetch_stage
3126 # -- Loading entity decode_stage
3127 # -- Loading package alu_pkg
3128 # -- Loading entity execute_stage
3129 # -- Loading entity writeback_stage
3130 # vsim -t ns work.pipeline_conf_beh 
3131 # Loading std.standard
3132 # Loading ieee.std_logic_1164(body)
3133 # Loading ieee.numeric_std(body)
3134 # Loading work.common_pkg(body)
3135 # Loading work.extension_pkg
3136 # Loading work.core_pkg
3137 # Loading work.alu_pkg(body)
3138 # Loading work.pipeline_conf_beh
3139 # Loading work.pipeline_tb(behavior)
3140 # Loading work.mem_pkg
3141 # Loading work.fetch_stage(behav)
3142 # Loading work.r_w_ram(behaviour)
3143 # Loading work.rom(behaviour)
3144 # Loading work.decode_stage(behav)
3145 # Loading work.r2_w_ram(behaviour)
3146 # Loading work.decoder(behav_d)
3147 # Loading work.execute_stage(behav)
3148 # Loading work.alu(behaviour)
3149 # Loading work.exec_op(add_op)
3150 # Loading work.exec_op(and_op)
3151 # Loading work.exec_op(or_op)
3152 # Loading work.exec_op(xor_op)
3153 # Loading work.exec_op(shift_op)
3154 # Loading work.extension_gpm(behav)
3155 # Loading work.extension_uart_pkg
3156 # Loading work.extension_7seg_pkg(body)
3157 # Loading work.writeback_stage(behav)
3158 # Loading work.r_w_ram_be(behaviour)
3159 # Loading work.extension_uart(behav)
3160 # Loading ieee.std_logic_arith(body)
3161 # Loading ieee.std_logic_unsigned(body)
3162 # Loading work.rs232_tx(beh)
3163 # Loading work.rs232_rx(beh)
3164 # Loading work.extension_7seg(behav)
3165 # Loading work.extension_interrupt(behav)
3166 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3167 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3168 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3169 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3170 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3171 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3172 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3173 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3174 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3175 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3176 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3177 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3178 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3179 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3180 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3181 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3182 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3183 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3184 run
3185 run
3186 run
3187 run
3188 run
3189 run
3190 run
3191 run
3192 run
3193 run
3194 run
3195 run
3196 restart
3197 run
3198 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3199 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3200 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3201 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3202 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3203 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3204 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3205 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3206 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3207 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3208 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3209 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3210 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3211 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3212 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3213 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3214 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3215 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3216 restart
3217 run
3218 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3219 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3220 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3221 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3222 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3223 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3224 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3225 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3226 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3227 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3228 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3229 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3230 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3231 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3232 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3233 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3234 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3235 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3236 restart
3237 run
3238 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3239 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3240 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3241 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3242 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3243 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3244 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3245 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3246 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3247 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3248 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3249 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3250 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3251 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3252 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3253 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3254 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3255 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3256 run -continue
3257 run
3258 restart
3259 run
3260 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3261 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3262 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3263 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3264 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3265 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3266 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3267 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3268 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3269 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3270 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3271 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3272 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3273 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3274 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3275 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3276 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3277 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3278 run
3279 do testcore.do
3280 # ** Warning: (vlib-34) Library already exists at "work".
3281 # Modifying modelsim.ini
3282 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3283 # -- Loading package standard
3284 # -- Loading package std_logic_1164
3285 # -- Loading package numeric_std
3286 # -- Compiling package mem_pkg
3287 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3288 # -- Loading package standard
3289 # -- Loading package std_logic_1164
3290 # -- Loading package numeric_std
3291 # -- Compiling entity r_w_ram
3292 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3293 # -- Loading package standard
3294 # -- Loading package std_logic_1164
3295 # -- Loading package numeric_std
3296 # -- Loading package mem_pkg
3297 # -- Compiling architecture behaviour of r_w_ram
3298 # -- Loading entity r_w_ram
3299 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3300 # -- Loading package standard
3301 # -- Loading package std_logic_1164
3302 # -- Loading package numeric_std
3303 # -- Compiling entity r_w_ram_be
3304 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3305 # -- Loading package standard
3306 # -- Loading package std_logic_1164
3307 # -- Loading package numeric_std
3308 # -- Loading package mem_pkg
3309 # -- Compiling architecture behaviour of r_w_ram_be
3310 # -- Loading entity r_w_ram_be
3311 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3312 # -- Loading package standard
3313 # -- Loading package std_logic_1164
3314 # -- Loading package numeric_std
3315 # -- Loading package mem_pkg
3316 # -- Compiling entity r2_w_ram
3317 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3318 # -- Loading package standard
3319 # -- Loading package std_logic_1164
3320 # -- Loading package numeric_std
3321 # -- Loading package mem_pkg
3322 # -- Compiling architecture behaviour of r2_w_ram
3323 # -- Loading entity r2_w_ram
3324 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3325 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3326 # -- Loading package standard
3327 # -- Loading package std_logic_1164
3328 # -- Loading package numeric_std
3329 # -- Compiling entity rom
3330 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3331 # -- Loading package standard
3332 # -- Loading package std_logic_1164
3333 # -- Loading package numeric_std
3334 # -- Loading package mem_pkg
3335 # -- Compiling architecture behaviour of rom
3336 # -- Loading entity rom
3337 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3338 # -- Loading package standard
3339 # -- Loading package std_logic_1164
3340 # -- Loading package numeric_std
3341 # -- Compiling package common_pkg
3342 # -- Compiling package body common_pkg
3343 # -- Loading package common_pkg
3344 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3345 # -- Loading package standard
3346 # -- Loading package std_logic_1164
3347 # -- Loading package numeric_std
3348 # -- Loading package common_pkg
3349 # -- Compiling package extension_pkg
3350 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3351 # -- Loading package standard
3352 # -- Loading package std_logic_1164
3353 # -- Loading package numeric_std
3354 # -- Loading package common_pkg
3355 # -- Loading package extension_pkg
3356 # -- Compiling package core_pkg
3357 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3358 # -- Loading package standard
3359 # -- Loading package std_logic_1164
3360 # -- Loading package numeric_std
3361 # -- Loading package common_pkg
3362 # -- Loading package extension_pkg
3363 # -- Compiling package extension_uart_pkg
3364 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3365 # -- Loading package standard
3366 # -- Loading package std_logic_1164
3367 # -- Loading package numeric_std
3368 # -- Loading package common_pkg
3369 # -- Loading package extension_pkg
3370 # -- Loading package extension_uart_pkg
3371 # -- Compiling entity extension_uart
3372 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3373 # -- Loading package standard
3374 # -- Loading package std_logic_1164
3375 # -- Loading package numeric_std
3376 # -- Loading package common_pkg
3377 # -- Loading package extension_pkg
3378 # -- Loading package core_pkg
3379 # -- Loading package mem_pkg
3380 # -- Loading package extension_uart_pkg
3381 # -- Compiling architecture behav of extension_uart
3382 # -- Loading entity extension_uart
3383 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3384 # -- Loading package standard
3385 # -- Loading package std_logic_1164
3386 # -- Loading package numeric_std
3387 # -- Loading package common_pkg
3388 # -- Loading package extension_pkg
3389 # -- Compiling entity extension_interrupt
3390 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3391 # -- Loading package standard
3392 # -- Loading package std_logic_1164
3393 # -- Loading package numeric_std
3394 # -- Loading package common_pkg
3395 # -- Loading package extension_pkg
3396 # -- Compiling architecture behav of extension_interrupt
3397 # -- Loading entity extension_interrupt
3398 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3399 # -- Loading package standard
3400 # -- Loading package std_logic_1164
3401 # -- Loading package numeric_std
3402 # -- Loading package common_pkg
3403 # -- Loading package extension_pkg
3404 # -- Compiling package extension_7seg_pkg
3405 # -- Compiling package body extension_7seg_pkg
3406 # -- Loading package extension_7seg_pkg
3407 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3408 # -- Loading package standard
3409 # -- Loading package std_logic_1164
3410 # -- Loading package numeric_std
3411 # -- Loading package common_pkg
3412 # -- Loading package extension_pkg
3413 # -- Loading package extension_7seg_pkg
3414 # -- Compiling entity extension_7seg
3415 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3416 # -- Loading package standard
3417 # -- Loading package std_logic_1164
3418 # -- Loading package numeric_std
3419 # -- Loading package common_pkg
3420 # -- Loading package extension_pkg
3421 # -- Loading package core_pkg
3422 # -- Loading package mem_pkg
3423 # -- Loading package extension_7seg_pkg
3424 # -- Compiling architecture behav of extension_7seg
3425 # -- Loading entity extension_7seg
3426 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3427 # -- Loading package standard
3428 # -- Loading package std_logic_1164
3429 # -- Loading package std_logic_arith
3430 # -- Loading package std_logic_unsigned
3431 # -- Loading package numeric_std
3432 # -- Loading package common_pkg
3433 # -- Loading package extension_pkg
3434 # -- Loading package core_pkg
3435 # -- Loading package extension_uart_pkg
3436 # -- Compiling entity rs232_tx
3437 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3438 # -- Loading package standard
3439 # -- Loading package std_logic_1164
3440 # -- Loading package numeric_std
3441 # -- Loading package common_pkg
3442 # -- Loading package extension_pkg
3443 # -- Loading package core_pkg
3444 # -- Loading package extension_uart_pkg
3445 # -- Compiling architecture beh of rs232_tx
3446 # -- Loading package std_logic_arith
3447 # -- Loading package std_logic_unsigned
3448 # -- Loading entity rs232_tx
3449 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3450 # -- Loading package standard
3451 # -- Loading package std_logic_1164
3452 # -- Loading package std_logic_arith
3453 # -- Loading package std_logic_unsigned
3454 # -- Loading package numeric_std
3455 # -- Loading package common_pkg
3456 # -- Loading package extension_pkg
3457 # -- Loading package core_pkg
3458 # -- Loading package extension_uart_pkg
3459 # -- Compiling entity rs232_rx
3460 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3461 # -- Loading package standard
3462 # -- Loading package std_logic_1164
3463 # -- Loading package numeric_std
3464 # -- Loading package common_pkg
3465 # -- Loading package extension_pkg
3466 # -- Loading package extension_uart_pkg
3467 # -- Loading package core_pkg
3468 # -- Compiling architecture beh of rs232_rx
3469 # -- Loading package std_logic_arith
3470 # -- Loading package std_logic_unsigned
3471 # -- Loading entity rs232_rx
3472 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3473 # -- Loading package standard
3474 # -- Loading package std_logic_1164
3475 # -- Loading package numeric_std
3476 # -- Loading package common_pkg
3477 # -- Loading package extension_pkg
3478 # -- Loading package core_pkg
3479 # -- Compiling entity decoder
3480 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3481 # -- Loading package standard
3482 # -- Loading package std_logic_1164
3483 # -- Loading package numeric_std
3484 # -- Loading package mem_pkg
3485 # -- Loading package common_pkg
3486 # -- Loading package extension_pkg
3487 # -- Loading package core_pkg
3488 # -- Compiling architecture behav_d of decoder
3489 # -- Loading entity decoder
3490 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3491 # -- Loading package standard
3492 # -- Loading package std_logic_1164
3493 # -- Loading package numeric_std
3494 # -- Loading package common_pkg
3495 # -- Loading package extension_pkg
3496 # -- Loading package core_pkg
3497 # -- Compiling entity fetch_stage
3498 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3499 # -- Loading package standard
3500 # -- Loading package std_logic_1164
3501 # -- Loading package numeric_std
3502 # -- Loading package common_pkg
3503 # -- Loading package extension_pkg
3504 # -- Loading package core_pkg
3505 # -- Loading package mem_pkg
3506 # -- Compiling architecture behav of fetch_stage
3507 # -- Loading entity fetch_stage
3508 # ** Warning: [14] ../src/fetch_stage_b.vhd(100): (vcom-1272) Length of expected is 16; length of actual is 11.
3509 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3510 # -- Loading package standard
3511 # -- Loading package std_logic_1164
3512 # -- Loading package numeric_std
3513 # -- Loading package common_pkg
3514 # -- Loading package extension_pkg
3515 # -- Loading package core_pkg
3516 # -- Compiling entity decode_stage
3517 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3518 # -- Loading package standard
3519 # -- Loading package std_logic_1164
3520 # -- Loading package numeric_std
3521 # -- Loading package mem_pkg
3522 # -- Loading package common_pkg
3523 # -- Loading package extension_pkg
3524 # -- Loading package core_pkg
3525 # -- Compiling architecture behav of decode_stage
3526 # -- Loading entity decode_stage
3527 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3528 # -- Loading package standard
3529 # -- Loading package std_logic_1164
3530 # -- Loading package numeric_std
3531 # -- Loading package common_pkg
3532 # -- Loading package extension_pkg
3533 # -- Compiling package alu_pkg
3534 # -- Compiling package body alu_pkg
3535 # -- Loading package alu_pkg
3536 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3537 # -- Loading package standard
3538 # -- Loading package std_logic_1164
3539 # -- Loading package numeric_std
3540 # -- Loading package common_pkg
3541 # -- Compiling package extension_pkg
3542 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3543 # -- Loading package standard
3544 # -- Loading package std_logic_1164
3545 # -- Loading package numeric_std
3546 # -- Loading package common_pkg
3547 # -- Loading package extension_pkg
3548 # -- Loading package alu_pkg
3549 # -- Compiling entity exec_op
3550 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3551 # -- Loading package standard
3552 # -- Loading package std_logic_1164
3553 # -- Loading package numeric_std
3554 # -- Loading package common_pkg
3555 # -- Loading package extension_pkg
3556 # -- Loading package alu_pkg
3557 # -- Compiling architecture add_op of exec_op
3558 # -- Loading entity exec_op
3559 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3560 # -- Loading package standard
3561 # -- Loading package std_logic_1164
3562 # -- Loading package numeric_std
3563 # -- Loading package common_pkg
3564 # -- Loading package extension_pkg
3565 # -- Loading package alu_pkg
3566 # -- Compiling architecture and_op of exec_op
3567 # -- Loading entity exec_op
3568 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3569 # -- Loading package standard
3570 # -- Loading package std_logic_1164
3571 # -- Loading package numeric_std
3572 # -- Loading package common_pkg
3573 # -- Loading package extension_pkg
3574 # -- Loading package alu_pkg
3575 # -- Compiling architecture or_op of exec_op
3576 # -- Loading entity exec_op
3577 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3578 # -- Loading package standard
3579 # -- Loading package std_logic_1164
3580 # -- Loading package numeric_std
3581 # -- Loading package common_pkg
3582 # -- Loading package extension_pkg
3583 # -- Loading package alu_pkg
3584 # -- Compiling architecture xor_op of exec_op
3585 # -- Loading entity exec_op
3586 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3587 # -- Loading package standard
3588 # -- Loading package std_logic_1164
3589 # -- Loading package numeric_std
3590 # -- Loading package common_pkg
3591 # -- Loading package extension_pkg
3592 # -- Loading package alu_pkg
3593 # -- Compiling architecture shift_op of exec_op
3594 # -- Loading entity exec_op
3595 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3596 # -- Loading package standard
3597 # -- Loading package std_logic_1164
3598 # -- Loading package numeric_std
3599 # -- Loading package common_pkg
3600 # -- Loading package extension_pkg
3601 # -- Loading package alu_pkg
3602 # -- Compiling entity alu
3603 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3604 # -- Loading package standard
3605 # -- Loading package std_logic_1164
3606 # -- Loading package numeric_std
3607 # -- Loading package common_pkg
3608 # -- Loading package extension_pkg
3609 # -- Loading package alu_pkg
3610 # -- Compiling architecture behaviour of alu
3611 # -- Loading entity alu
3612 # -- Loading entity exec_op
3613 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3614 # -- Loading package standard
3615 # -- Loading package std_logic_1164
3616 # -- Loading package numeric_std
3617 # -- Loading package common_pkg
3618 # -- Compiling package extension_pkg
3619 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3620 # -- Loading package standard
3621 # -- Loading package std_logic_1164
3622 # -- Loading package numeric_std
3623 # -- Loading package common_pkg
3624 # -- Loading package extension_pkg
3625 # -- Compiling entity extension_gpm
3626 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3627 # -- Loading package standard
3628 # -- Loading package std_logic_1164
3629 # -- Loading package numeric_std
3630 # -- Loading package common_pkg
3631 # -- Loading package extension_pkg
3632 # -- Loading package core_pkg
3633 # -- Loading package mem_pkg
3634 # -- Compiling architecture behav of extension_gpm
3635 # -- Loading entity extension_gpm
3636 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3637 # -- Loading package standard
3638 # -- Loading package std_logic_1164
3639 # -- Loading package numeric_std
3640 # -- Loading package common_pkg
3641 # -- Loading package extension_pkg
3642 # -- Loading package alu_pkg
3643 # -- Compiling entity execute_stage
3644 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3645 # -- Loading package standard
3646 # -- Loading package std_logic_1164
3647 # -- Loading package numeric_std
3648 # -- Loading package common_pkg
3649 # -- Loading package extension_pkg
3650 # -- Loading package alu_pkg
3651 # -- Compiling architecture behav of execute_stage
3652 # -- Loading entity execute_stage
3653 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3654 # -- Loading package standard
3655 # -- Loading package std_logic_1164
3656 # -- Loading package numeric_std
3657 # -- Loading package common_pkg
3658 # -- Compiling entity writeback_stage
3659 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3660 # -- Loading package standard
3661 # -- Loading package std_logic_1164
3662 # -- Loading package numeric_std
3663 # -- Loading package common_pkg
3664 # -- Loading package extension_pkg
3665 # -- Loading package core_pkg
3666 # -- Loading package mem_pkg
3667 # -- Loading package extension_uart_pkg
3668 # -- Loading package extension_7seg_pkg
3669 # -- Compiling architecture behav of writeback_stage
3670 # -- Loading entity writeback_stage
3671 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
3672 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
3673 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
3674 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
3675 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
3676 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3677 # -- Loading package standard
3678 # -- Loading package std_logic_1164
3679 # -- Loading package numeric_std
3680 # -- Loading package common_pkg
3681 # -- Loading package extension_pkg
3682 # -- Loading package core_pkg
3683 # -- Compiling entity pipeline_tb
3684 # -- Compiling architecture behavior of pipeline_tb
3685 # -- Compiling configuration pipeline_conf_beh
3686 # -- Loading entity pipeline_tb
3687 # -- Loading architecture behavior of pipeline_tb
3688 # -- Loading entity fetch_stage
3689 # -- Loading entity decode_stage
3690 # -- Loading package alu_pkg
3691 # -- Loading entity execute_stage
3692 # -- Loading entity writeback_stage
3693 # vsim -t ns work.pipeline_conf_beh 
3694 # Loading std.standard
3695 # Loading ieee.std_logic_1164(body)
3696 # Loading ieee.numeric_std(body)
3697 # Loading work.common_pkg(body)
3698 # Loading work.extension_pkg
3699 # Loading work.core_pkg
3700 # Loading work.alu_pkg(body)
3701 # Loading work.pipeline_conf_beh
3702 # Loading work.pipeline_tb(behavior)
3703 # Loading work.mem_pkg
3704 # Loading work.fetch_stage(behav)
3705 # Loading work.r_w_ram(behaviour)
3706 # Loading work.rom(behaviour)
3707 # Loading work.decode_stage(behav)
3708 # Loading work.r2_w_ram(behaviour)
3709 # Loading work.decoder(behav_d)
3710 # Loading work.execute_stage(behav)
3711 # Loading work.alu(behaviour)
3712 # Loading work.exec_op(add_op)
3713 # Loading work.exec_op(and_op)
3714 # Loading work.exec_op(or_op)
3715 # Loading work.exec_op(xor_op)
3716 # Loading work.exec_op(shift_op)
3717 # Loading work.extension_gpm(behav)
3718 # Loading work.extension_uart_pkg
3719 # Loading work.extension_7seg_pkg(body)
3720 # Loading work.writeback_stage(behav)
3721 # Loading work.r_w_ram_be(behaviour)
3722 # Loading work.extension_uart(behav)
3723 # Loading ieee.std_logic_arith(body)
3724 # Loading ieee.std_logic_unsigned(body)
3725 # Loading work.rs232_tx(beh)
3726 # Loading work.rs232_rx(beh)
3727 # Loading work.extension_7seg(behav)
3728 # Loading work.extension_interrupt(behav)
3729 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3730 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3731 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3732 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3733 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3734 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3735 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3736 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3737 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3738 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3739 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3740 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3741 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3742 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3743 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3744 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3745 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3746 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3747 run
3748 run
3749 run
3750 run
3751 run
3752 run
3753 run
3754 # ** Fatal: (vsim-3420) Array lengths do not match. Left is 16 (22 downto 7). Right is 11 (10 downto 0).
3755 #    Time: 104840 ns  Iteration: 3  Process: /pipeline_tb/fetch_st/asyn File: ../src/fetch_stage_b.vhd
3756 # Fatal error in Process asyn at ../src/fetch_stage_b.vhd line 100
3757
3758 # HDL call sequence:
3759 # Stopped at ../src/fetch_stage_b.vhd 100 Process asyn
3760
3761 run
3762 # Cannot continue because of fatal error.
3763 # HDL call sequence:
3764 # Stopped at ../src/fetch_stage_b.vhd 100 Process asyn
3765
3766 run
3767 # Cannot continue because of fatal error.
3768 # HDL call sequence:
3769 # Stopped at ../src/fetch_stage_b.vhd 100 Process asyn
3770
3771 run
3772 # Cannot continue because of fatal error.
3773 # HDL call sequence:
3774 # Stopped at ../src/fetch_stage_b.vhd 100 Process asyn
3775
3776 run
3777 # Cannot continue because of fatal error.
3778 # HDL call sequence:
3779 # Stopped at ../src/fetch_stage_b.vhd 100 Process asyn
3780
3781 run
3782 # Cannot continue because of fatal error.
3783 # HDL call sequence:
3784 # Stopped at ../src/fetch_stage_b.vhd 100 Process asyn
3785
3786 run
3787 # Cannot continue because of fatal error.
3788 # HDL call sequence:
3789 # Stopped at ../src/fetch_stage_b.vhd 100 Process asyn
3790
3791 run
3792 # Cannot continue because of fatal error.
3793 # HDL call sequence:
3794 # Stopped at ../src/fetch_stage_b.vhd 100 Process asyn
3795
3796 vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd
3797 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3798 # -- Loading package standard
3799 # -- Loading package std_logic_1164
3800 # -- Loading package numeric_std
3801 # -- Loading package common_pkg
3802 # -- Loading package extension_pkg
3803 # -- Loading package core_pkg
3804 # -- Loading package mem_pkg
3805 # -- Compiling architecture behav of fetch_stage
3806 # -- Loading entity fetch_stage
3807 # ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(101): (vcom-1136) Unknown identifier "physical_instr_addr_width".
3808 # ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(101): Bad expression in left bound of range expression.
3809 # ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(101): Type error in range expression.
3810 # ** Error: /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(114): VHDL Compiler exiting
3811 vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd
3812 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3813 # -- Loading package standard
3814 # -- Loading package std_logic_1164
3815 # -- Loading package numeric_std
3816 # -- Loading package common_pkg
3817 # -- Loading package extension_pkg
3818 # -- Loading package core_pkg
3819 # -- Loading package mem_pkg
3820 # -- Compiling architecture behav of fetch_stage
3821 # -- Loading entity fetch_stage
3822 # ** Warning: [3] /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(101): (vcom-1246) Range 5 downto 7 is null.
3823 # ** Warning: [14] /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd(101): (vcom-1272) Length of expected is 0; length of actual is 11.
3824 vcom -reportprogress 300 -work work /home/stefan/processor/calu/cpu/src/fetch_stage_b.vhd
3825 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3826 # -- Loading package standard
3827 # -- Loading package std_logic_1164
3828 # -- Loading package numeric_std
3829 # -- Loading package common_pkg
3830 # -- Loading package extension_pkg
3831 # -- Loading package core_pkg
3832 # -- Loading package mem_pkg
3833 # -- Compiling architecture behav of fetch_stage
3834 # -- Loading entity fetch_stage
3835 restart
3836 # Loading work.fetch_stage(behav)
3837 run
3838 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3839 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
3840 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3841 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
3842 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3843 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3844 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3845 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
3846 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3847 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3848 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3849 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3850 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3851 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3852 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3853 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
3854 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
3855 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
3856 run
3857 do testcore.do
3858 # ** Warning: (vlib-34) Library already exists at "work".
3859 # Modifying modelsim.ini
3860 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3861 # -- Loading package standard
3862 # -- Loading package std_logic_1164
3863 # -- Loading package numeric_std
3864 # -- Compiling package mem_pkg
3865 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3866 # -- Loading package standard
3867 # -- Loading package std_logic_1164
3868 # -- Loading package numeric_std
3869 # -- Compiling entity r_w_ram
3870 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3871 # -- Loading package standard
3872 # -- Loading package std_logic_1164
3873 # -- Loading package numeric_std
3874 # -- Loading package mem_pkg
3875 # -- Compiling architecture behaviour of r_w_ram
3876 # -- Loading entity r_w_ram
3877 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3878 # -- Loading package standard
3879 # -- Loading package std_logic_1164
3880 # -- Loading package numeric_std
3881 # -- Compiling entity r_w_ram_be
3882 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3883 # -- Loading package standard
3884 # -- Loading package std_logic_1164
3885 # -- Loading package numeric_std
3886 # -- Loading package mem_pkg
3887 # -- Compiling architecture behaviour of r_w_ram_be
3888 # -- Loading entity r_w_ram_be
3889 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3890 # -- Loading package standard
3891 # -- Loading package std_logic_1164
3892 # -- Loading package numeric_std
3893 # -- Loading package mem_pkg
3894 # -- Compiling entity r2_w_ram
3895 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3896 # -- Loading package standard
3897 # -- Loading package std_logic_1164
3898 # -- Loading package numeric_std
3899 # -- Loading package mem_pkg
3900 # -- Compiling architecture behaviour of r2_w_ram
3901 # -- Loading entity r2_w_ram
3902 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3903 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3904 # -- Loading package standard
3905 # -- Loading package std_logic_1164
3906 # -- Loading package numeric_std
3907 # -- Compiling entity rom
3908 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3909 # -- Loading package standard
3910 # -- Loading package std_logic_1164
3911 # -- Loading package numeric_std
3912 # -- Loading package mem_pkg
3913 # -- Compiling architecture behaviour of rom
3914 # -- Loading entity rom
3915 # ** Error: ../src/rom_b.vhd(127): (vcom-1167) Index value -4 is out of std.standard.natural range 0 to 2147483647.
3916 # ** Error: ../src/rom_b.vhd(128): (vcom-1167) Index value -4 is out of std.standard.natural range 0 to 2147483647.
3917 # ** Warning: [14] ../src/rom_b.vhd(127): (vcom-1272) Length of expected is 7; length of actual is 11.
3918 # ** Warning: [14] ../src/rom_b.vhd(128): (vcom-1272) Length of expected is 7; length of actual is 11.
3919 # ** Error: ../src/rom_b.vhd(137): VHDL Compiler exiting
3920 # ** Error: /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
3921 # Error in macro ./testcore.do line 12
3922 # /opt/altera/10.0sp1/modelsim_ase/linuxaloem/vcom failed.
3923 #     while executing
3924 # "vcom -work work ../src/rom_b.vhd"
3925 do testcore.do
3926 # ** Warning: (vlib-34) Library already exists at "work".
3927 # Modifying modelsim.ini
3928 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3929 # -- Loading package standard
3930 # -- Loading package std_logic_1164
3931 # -- Loading package numeric_std
3932 # -- Compiling package mem_pkg
3933 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3934 # -- Loading package standard
3935 # -- Loading package std_logic_1164
3936 # -- Loading package numeric_std
3937 # -- Compiling entity r_w_ram
3938 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3939 # -- Loading package standard
3940 # -- Loading package std_logic_1164
3941 # -- Loading package numeric_std
3942 # -- Loading package mem_pkg
3943 # -- Compiling architecture behaviour of r_w_ram
3944 # -- Loading entity r_w_ram
3945 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3946 # -- Loading package standard
3947 # -- Loading package std_logic_1164
3948 # -- Loading package numeric_std
3949 # -- Compiling entity r_w_ram_be
3950 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3951 # -- Loading package standard
3952 # -- Loading package std_logic_1164
3953 # -- Loading package numeric_std
3954 # -- Loading package mem_pkg
3955 # -- Compiling architecture behaviour of r_w_ram_be
3956 # -- Loading entity r_w_ram_be
3957 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3958 # -- Loading package standard
3959 # -- Loading package std_logic_1164
3960 # -- Loading package numeric_std
3961 # -- Loading package mem_pkg
3962 # -- Compiling entity r2_w_ram
3963 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3964 # -- Loading package standard
3965 # -- Loading package std_logic_1164
3966 # -- Loading package numeric_std
3967 # -- Loading package mem_pkg
3968 # -- Compiling architecture behaviour of r2_w_ram
3969 # -- Loading entity r2_w_ram
3970 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
3971 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3972 # -- Loading package standard
3973 # -- Loading package std_logic_1164
3974 # -- Loading package numeric_std
3975 # -- Compiling entity rom
3976 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3977 # -- Loading package standard
3978 # -- Loading package std_logic_1164
3979 # -- Loading package numeric_std
3980 # -- Loading package mem_pkg
3981 # -- Compiling architecture behaviour of rom
3982 # -- Loading entity rom
3983 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3984 # -- Loading package standard
3985 # -- Loading package std_logic_1164
3986 # -- Loading package numeric_std
3987 # -- Compiling package common_pkg
3988 # -- Compiling package body common_pkg
3989 # -- Loading package common_pkg
3990 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3991 # -- Loading package standard
3992 # -- Loading package std_logic_1164
3993 # -- Loading package numeric_std
3994 # -- Loading package common_pkg
3995 # -- Compiling package extension_pkg
3996 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
3997 # -- Loading package standard
3998 # -- Loading package std_logic_1164
3999 # -- Loading package numeric_std
4000 # -- Loading package common_pkg
4001 # -- Loading package extension_pkg
4002 # -- Compiling package core_pkg
4003 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4004 # -- Loading package standard
4005 # -- Loading package std_logic_1164
4006 # -- Loading package numeric_std
4007 # -- Loading package common_pkg
4008 # -- Loading package extension_pkg
4009 # -- Compiling package extension_uart_pkg
4010 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4011 # -- Loading package standard
4012 # -- Loading package std_logic_1164
4013 # -- Loading package numeric_std
4014 # -- Loading package common_pkg
4015 # -- Loading package extension_pkg
4016 # -- Loading package extension_uart_pkg
4017 # -- Compiling entity extension_uart
4018 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4019 # -- Loading package standard
4020 # -- Loading package std_logic_1164
4021 # -- Loading package numeric_std
4022 # -- Loading package common_pkg
4023 # -- Loading package extension_pkg
4024 # -- Loading package core_pkg
4025 # -- Loading package mem_pkg
4026 # -- Loading package extension_uart_pkg
4027 # -- Compiling architecture behav of extension_uart
4028 # -- Loading entity extension_uart
4029 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4030 # -- Loading package standard
4031 # -- Loading package std_logic_1164
4032 # -- Loading package numeric_std
4033 # -- Loading package common_pkg
4034 # -- Loading package extension_pkg
4035 # -- Compiling entity extension_interrupt
4036 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4037 # -- Loading package standard
4038 # -- Loading package std_logic_1164
4039 # -- Loading package numeric_std
4040 # -- Loading package common_pkg
4041 # -- Loading package extension_pkg
4042 # -- Compiling architecture behav of extension_interrupt
4043 # -- Loading entity extension_interrupt
4044 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4045 # -- Loading package standard
4046 # -- Loading package std_logic_1164
4047 # -- Loading package numeric_std
4048 # -- Loading package common_pkg
4049 # -- Loading package extension_pkg
4050 # -- Compiling package extension_7seg_pkg
4051 # -- Compiling package body extension_7seg_pkg
4052 # -- Loading package extension_7seg_pkg
4053 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4054 # -- Loading package standard
4055 # -- Loading package std_logic_1164
4056 # -- Loading package numeric_std
4057 # -- Loading package common_pkg
4058 # -- Loading package extension_pkg
4059 # -- Loading package extension_7seg_pkg
4060 # -- Compiling entity extension_7seg
4061 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4062 # -- Loading package standard
4063 # -- Loading package std_logic_1164
4064 # -- Loading package numeric_std
4065 # -- Loading package common_pkg
4066 # -- Loading package extension_pkg
4067 # -- Loading package core_pkg
4068 # -- Loading package mem_pkg
4069 # -- Loading package extension_7seg_pkg
4070 # -- Compiling architecture behav of extension_7seg
4071 # -- Loading entity extension_7seg
4072 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4073 # -- Loading package standard
4074 # -- Loading package std_logic_1164
4075 # -- Loading package std_logic_arith
4076 # -- Loading package std_logic_unsigned
4077 # -- Loading package numeric_std
4078 # -- Loading package common_pkg
4079 # -- Loading package extension_pkg
4080 # -- Loading package core_pkg
4081 # -- Loading package extension_uart_pkg
4082 # -- Compiling entity rs232_tx
4083 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4084 # -- Loading package standard
4085 # -- Loading package std_logic_1164
4086 # -- Loading package numeric_std
4087 # -- Loading package common_pkg
4088 # -- Loading package extension_pkg
4089 # -- Loading package core_pkg
4090 # -- Loading package extension_uart_pkg
4091 # -- Compiling architecture beh of rs232_tx
4092 # -- Loading package std_logic_arith
4093 # -- Loading package std_logic_unsigned
4094 # -- Loading entity rs232_tx
4095 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4096 # -- Loading package standard
4097 # -- Loading package std_logic_1164
4098 # -- Loading package std_logic_arith
4099 # -- Loading package std_logic_unsigned
4100 # -- Loading package numeric_std
4101 # -- Loading package common_pkg
4102 # -- Loading package extension_pkg
4103 # -- Loading package core_pkg
4104 # -- Loading package extension_uart_pkg
4105 # -- Compiling entity rs232_rx
4106 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4107 # -- Loading package standard
4108 # -- Loading package std_logic_1164
4109 # -- Loading package numeric_std
4110 # -- Loading package common_pkg
4111 # -- Loading package extension_pkg
4112 # -- Loading package extension_uart_pkg
4113 # -- Loading package core_pkg
4114 # -- Compiling architecture beh of rs232_rx
4115 # -- Loading package std_logic_arith
4116 # -- Loading package std_logic_unsigned
4117 # -- Loading entity rs232_rx
4118 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4119 # -- Loading package standard
4120 # -- Loading package std_logic_1164
4121 # -- Loading package numeric_std
4122 # -- Loading package common_pkg
4123 # -- Loading package extension_pkg
4124 # -- Loading package core_pkg
4125 # -- Compiling entity decoder
4126 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4127 # -- Loading package standard
4128 # -- Loading package std_logic_1164
4129 # -- Loading package numeric_std
4130 # -- Loading package mem_pkg
4131 # -- Loading package common_pkg
4132 # -- Loading package extension_pkg
4133 # -- Loading package core_pkg
4134 # -- Compiling architecture behav_d of decoder
4135 # -- Loading entity decoder
4136 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4137 # -- Loading package standard
4138 # -- Loading package std_logic_1164
4139 # -- Loading package numeric_std
4140 # -- Loading package common_pkg
4141 # -- Loading package extension_pkg
4142 # -- Loading package core_pkg
4143 # -- Compiling entity fetch_stage
4144 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4145 # -- Loading package standard
4146 # -- Loading package std_logic_1164
4147 # -- Loading package numeric_std
4148 # -- Loading package common_pkg
4149 # -- Loading package extension_pkg
4150 # -- Loading package core_pkg
4151 # -- Loading package mem_pkg
4152 # -- Compiling architecture behav of fetch_stage
4153 # -- Loading entity fetch_stage
4154 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4155 # -- Loading package standard
4156 # -- Loading package std_logic_1164
4157 # -- Loading package numeric_std
4158 # -- Loading package common_pkg
4159 # -- Loading package extension_pkg
4160 # -- Loading package core_pkg
4161 # -- Compiling entity decode_stage
4162 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4163 # -- Loading package standard
4164 # -- Loading package std_logic_1164
4165 # -- Loading package numeric_std
4166 # -- Loading package mem_pkg
4167 # -- Loading package common_pkg
4168 # -- Loading package extension_pkg
4169 # -- Loading package core_pkg
4170 # -- Compiling architecture behav of decode_stage
4171 # -- Loading entity decode_stage
4172 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4173 # -- Loading package standard
4174 # -- Loading package std_logic_1164
4175 # -- Loading package numeric_std
4176 # -- Loading package common_pkg
4177 # -- Loading package extension_pkg
4178 # -- Compiling package alu_pkg
4179 # -- Compiling package body alu_pkg
4180 # -- Loading package alu_pkg
4181 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4182 # -- Loading package standard
4183 # -- Loading package std_logic_1164
4184 # -- Loading package numeric_std
4185 # -- Loading package common_pkg
4186 # -- Compiling package extension_pkg
4187 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4188 # -- Loading package standard
4189 # -- Loading package std_logic_1164
4190 # -- Loading package numeric_std
4191 # -- Loading package common_pkg
4192 # -- Loading package extension_pkg
4193 # -- Loading package alu_pkg
4194 # -- Compiling entity exec_op
4195 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4196 # -- Loading package standard
4197 # -- Loading package std_logic_1164
4198 # -- Loading package numeric_std
4199 # -- Loading package common_pkg
4200 # -- Loading package extension_pkg
4201 # -- Loading package alu_pkg
4202 # -- Compiling architecture add_op of exec_op
4203 # -- Loading entity exec_op
4204 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4205 # -- Loading package standard
4206 # -- Loading package std_logic_1164
4207 # -- Loading package numeric_std
4208 # -- Loading package common_pkg
4209 # -- Loading package extension_pkg
4210 # -- Loading package alu_pkg
4211 # -- Compiling architecture and_op of exec_op
4212 # -- Loading entity exec_op
4213 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4214 # -- Loading package standard
4215 # -- Loading package std_logic_1164
4216 # -- Loading package numeric_std
4217 # -- Loading package common_pkg
4218 # -- Loading package extension_pkg
4219 # -- Loading package alu_pkg
4220 # -- Compiling architecture or_op of exec_op
4221 # -- Loading entity exec_op
4222 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4223 # -- Loading package standard
4224 # -- Loading package std_logic_1164
4225 # -- Loading package numeric_std
4226 # -- Loading package common_pkg
4227 # -- Loading package extension_pkg
4228 # -- Loading package alu_pkg
4229 # -- Compiling architecture xor_op of exec_op
4230 # -- Loading entity exec_op
4231 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4232 # -- Loading package standard
4233 # -- Loading package std_logic_1164
4234 # -- Loading package numeric_std
4235 # -- Loading package common_pkg
4236 # -- Loading package extension_pkg
4237 # -- Loading package alu_pkg
4238 # -- Compiling architecture shift_op of exec_op
4239 # -- Loading entity exec_op
4240 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4241 # -- Loading package standard
4242 # -- Loading package std_logic_1164
4243 # -- Loading package numeric_std
4244 # -- Loading package common_pkg
4245 # -- Loading package extension_pkg
4246 # -- Loading package alu_pkg
4247 # -- Compiling entity alu
4248 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4249 # -- Loading package standard
4250 # -- Loading package std_logic_1164
4251 # -- Loading package numeric_std
4252 # -- Loading package common_pkg
4253 # -- Loading package extension_pkg
4254 # -- Loading package alu_pkg
4255 # -- Compiling architecture behaviour of alu
4256 # -- Loading entity alu
4257 # -- Loading entity exec_op
4258 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4259 # -- Loading package standard
4260 # -- Loading package std_logic_1164
4261 # -- Loading package numeric_std
4262 # -- Loading package common_pkg
4263 # -- Compiling package extension_pkg
4264 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4265 # -- Loading package standard
4266 # -- Loading package std_logic_1164
4267 # -- Loading package numeric_std
4268 # -- Loading package common_pkg
4269 # -- Loading package extension_pkg
4270 # -- Compiling entity extension_gpm
4271 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4272 # -- Loading package standard
4273 # -- Loading package std_logic_1164
4274 # -- Loading package numeric_std
4275 # -- Loading package common_pkg
4276 # -- Loading package extension_pkg
4277 # -- Loading package core_pkg
4278 # -- Loading package mem_pkg
4279 # -- Compiling architecture behav of extension_gpm
4280 # -- Loading entity extension_gpm
4281 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4282 # -- Loading package standard
4283 # -- Loading package std_logic_1164
4284 # -- Loading package numeric_std
4285 # -- Loading package common_pkg
4286 # -- Loading package extension_pkg
4287 # -- Loading package alu_pkg
4288 # -- Compiling entity execute_stage
4289 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4290 # -- Loading package standard
4291 # -- Loading package std_logic_1164
4292 # -- Loading package numeric_std
4293 # -- Loading package common_pkg
4294 # -- Loading package extension_pkg
4295 # -- Loading package alu_pkg
4296 # -- Compiling architecture behav of execute_stage
4297 # -- Loading entity execute_stage
4298 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4299 # -- Loading package standard
4300 # -- Loading package std_logic_1164
4301 # -- Loading package numeric_std
4302 # -- Loading package common_pkg
4303 # -- Compiling entity writeback_stage
4304 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4305 # -- Loading package standard
4306 # -- Loading package std_logic_1164
4307 # -- Loading package numeric_std
4308 # -- Loading package common_pkg
4309 # -- Loading package extension_pkg
4310 # -- Loading package core_pkg
4311 # -- Loading package mem_pkg
4312 # -- Loading package extension_uart_pkg
4313 # -- Loading package extension_7seg_pkg
4314 # -- Compiling architecture behav of writeback_stage
4315 # -- Loading entity writeback_stage
4316 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
4317 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
4318 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
4319 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
4320 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
4321 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4322 # -- Loading package standard
4323 # -- Loading package std_logic_1164
4324 # -- Loading package numeric_std
4325 # -- Loading package common_pkg
4326 # -- Loading package extension_pkg
4327 # -- Loading package core_pkg
4328 # -- Compiling entity pipeline_tb
4329 # -- Compiling architecture behavior of pipeline_tb
4330 # -- Compiling configuration pipeline_conf_beh
4331 # -- Loading entity pipeline_tb
4332 # -- Loading architecture behavior of pipeline_tb
4333 # -- Loading entity fetch_stage
4334 # -- Loading entity decode_stage
4335 # -- Loading package alu_pkg
4336 # -- Loading entity execute_stage
4337 # -- Loading entity writeback_stage
4338 # vsim -t ns work.pipeline_conf_beh 
4339 # Loading std.standard
4340 # Loading ieee.std_logic_1164(body)
4341 # Loading ieee.numeric_std(body)
4342 # Loading work.common_pkg(body)
4343 # Loading work.extension_pkg
4344 # Loading work.core_pkg
4345 # Loading work.alu_pkg(body)
4346 # Loading work.pipeline_conf_beh
4347 # Loading work.pipeline_tb(behavior)
4348 # Loading work.mem_pkg
4349 # Loading work.fetch_stage(behav)
4350 # Loading work.r_w_ram(behaviour)
4351 # Loading work.rom(behaviour)
4352 # Loading work.decode_stage(behav)
4353 # Loading work.r2_w_ram(behaviour)
4354 # Loading work.decoder(behav_d)
4355 # Loading work.execute_stage(behav)
4356 # Loading work.alu(behaviour)
4357 # Loading work.exec_op(add_op)
4358 # Loading work.exec_op(and_op)
4359 # Loading work.exec_op(or_op)
4360 # Loading work.exec_op(xor_op)
4361 # Loading work.exec_op(shift_op)
4362 # Loading work.extension_gpm(behav)
4363 # Loading work.extension_uart_pkg
4364 # Loading work.extension_7seg_pkg(body)
4365 # Loading work.writeback_stage(behav)
4366 # Loading work.r_w_ram_be(behaviour)
4367 # Loading work.extension_uart(behav)
4368 # Loading ieee.std_logic_arith(body)
4369 # Loading ieee.std_logic_unsigned(body)
4370 # Loading work.rs232_tx(beh)
4371 # Loading work.rs232_rx(beh)
4372 # Loading work.extension_7seg(behav)
4373 # Loading work.extension_interrupt(behav)
4374 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4375 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
4376 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4377 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
4378 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4379 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4380 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4381 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
4382 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4383 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4384 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4385 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4386 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4387 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4388 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4389 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4390 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4391 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
4392 run
4393 run
4394 do testcore.do
4395 # ** Warning: (vlib-34) Library already exists at "work".
4396 # Modifying modelsim.ini
4397 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4398 # -- Loading package standard
4399 # -- Loading package std_logic_1164
4400 # -- Loading package numeric_std
4401 # -- Compiling package mem_pkg
4402 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4403 # -- Loading package standard
4404 # -- Loading package std_logic_1164
4405 # -- Loading package numeric_std
4406 # -- Compiling entity r_w_ram
4407 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4408 # -- Loading package standard
4409 # -- Loading package std_logic_1164
4410 # -- Loading package numeric_std
4411 # -- Loading package mem_pkg
4412 # -- Compiling architecture behaviour of r_w_ram
4413 # -- Loading entity r_w_ram
4414 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4415 # -- Loading package standard
4416 # -- Loading package std_logic_1164
4417 # -- Loading package numeric_std
4418 # -- Compiling entity r_w_ram_be
4419 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4420 # -- Loading package standard
4421 # -- Loading package std_logic_1164
4422 # -- Loading package numeric_std
4423 # -- Loading package mem_pkg
4424 # -- Compiling architecture behaviour of r_w_ram_be
4425 # -- Loading entity r_w_ram_be
4426 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4427 # -- Loading package standard
4428 # -- Loading package std_logic_1164
4429 # -- Loading package numeric_std
4430 # -- Loading package mem_pkg
4431 # -- Compiling entity r2_w_ram
4432 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4433 # -- Loading package standard
4434 # -- Loading package std_logic_1164
4435 # -- Loading package numeric_std
4436 # -- Loading package mem_pkg
4437 # -- Compiling architecture behaviour of r2_w_ram
4438 # -- Loading entity r2_w_ram
4439 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
4440 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4441 # -- Loading package standard
4442 # -- Loading package std_logic_1164
4443 # -- Loading package numeric_std
4444 # -- Compiling entity rom
4445 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4446 # -- Loading package standard
4447 # -- Loading package std_logic_1164
4448 # -- Loading package numeric_std
4449 # -- Loading package mem_pkg
4450 # -- Compiling architecture behaviour of rom
4451 # -- Loading entity rom
4452 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4453 # -- Loading package standard
4454 # -- Loading package std_logic_1164
4455 # -- Loading package numeric_std
4456 # -- Compiling package common_pkg
4457 # -- Compiling package body common_pkg
4458 # -- Loading package common_pkg
4459 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4460 # -- Loading package standard
4461 # -- Loading package std_logic_1164
4462 # -- Loading package numeric_std
4463 # -- Loading package common_pkg
4464 # -- Compiling package extension_pkg
4465 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4466 # -- Loading package standard
4467 # -- Loading package std_logic_1164
4468 # -- Loading package numeric_std
4469 # -- Loading package common_pkg
4470 # -- Loading package extension_pkg
4471 # -- Compiling package core_pkg
4472 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4473 # -- Loading package standard
4474 # -- Loading package std_logic_1164
4475 # -- Loading package numeric_std
4476 # -- Loading package common_pkg
4477 # -- Loading package extension_pkg
4478 # -- Compiling package extension_uart_pkg
4479 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4480 # -- Loading package standard
4481 # -- Loading package std_logic_1164
4482 # -- Loading package numeric_std
4483 # -- Loading package common_pkg
4484 # -- Loading package extension_pkg
4485 # -- Loading package extension_uart_pkg
4486 # -- Compiling entity extension_uart
4487 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4488 # -- Loading package standard
4489 # -- Loading package std_logic_1164
4490 # -- Loading package numeric_std
4491 # -- Loading package common_pkg
4492 # -- Loading package extension_pkg
4493 # -- Loading package core_pkg
4494 # -- Loading package mem_pkg
4495 # -- Loading package extension_uart_pkg
4496 # -- Compiling architecture behav of extension_uart
4497 # -- Loading entity extension_uart
4498 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4499 # -- Loading package standard
4500 # -- Loading package std_logic_1164
4501 # -- Loading package numeric_std
4502 # -- Loading package common_pkg
4503 # -- Loading package extension_pkg
4504 # -- Compiling entity extension_interrupt
4505 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4506 # -- Loading package standard
4507 # -- Loading package std_logic_1164
4508 # -- Loading package numeric_std
4509 # -- Loading package common_pkg
4510 # -- Loading package extension_pkg
4511 # -- Compiling architecture behav of extension_interrupt
4512 # -- Loading entity extension_interrupt
4513 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4514 # -- Loading package standard
4515 # -- Loading package std_logic_1164
4516 # -- Loading package numeric_std
4517 # -- Loading package common_pkg
4518 # -- Loading package extension_pkg
4519 # -- Compiling package extension_7seg_pkg
4520 # -- Compiling package body extension_7seg_pkg
4521 # -- Loading package extension_7seg_pkg
4522 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4523 # -- Loading package standard
4524 # -- Loading package std_logic_1164
4525 # -- Loading package numeric_std
4526 # -- Loading package common_pkg
4527 # -- Loading package extension_pkg
4528 # -- Loading package extension_7seg_pkg
4529 # -- Compiling entity extension_7seg
4530 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4531 # -- Loading package standard
4532 # -- Loading package std_logic_1164
4533 # -- Loading package numeric_std
4534 # -- Loading package common_pkg
4535 # -- Loading package extension_pkg
4536 # -- Loading package core_pkg
4537 # -- Loading package mem_pkg
4538 # -- Loading package extension_7seg_pkg
4539 # -- Compiling architecture behav of extension_7seg
4540 # -- Loading entity extension_7seg
4541 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4542 # -- Loading package standard
4543 # -- Loading package std_logic_1164
4544 # -- Loading package std_logic_arith
4545 # -- Loading package std_logic_unsigned
4546 # -- Loading package numeric_std
4547 # -- Loading package common_pkg
4548 # -- Loading package extension_pkg
4549 # -- Loading package core_pkg
4550 # -- Loading package extension_uart_pkg
4551 # -- Compiling entity rs232_tx
4552 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4553 # -- Loading package standard
4554 # -- Loading package std_logic_1164
4555 # -- Loading package numeric_std
4556 # -- Loading package common_pkg
4557 # -- Loading package extension_pkg
4558 # -- Loading package core_pkg
4559 # -- Loading package extension_uart_pkg
4560 # -- Compiling architecture beh of rs232_tx
4561 # -- Loading package std_logic_arith
4562 # -- Loading package std_logic_unsigned
4563 # -- Loading entity rs232_tx
4564 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4565 # -- Loading package standard
4566 # -- Loading package std_logic_1164
4567 # -- Loading package std_logic_arith
4568 # -- Loading package std_logic_unsigned
4569 # -- Loading package numeric_std
4570 # -- Loading package common_pkg
4571 # -- Loading package extension_pkg
4572 # -- Loading package core_pkg
4573 # -- Loading package extension_uart_pkg
4574 # -- Compiling entity rs232_rx
4575 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4576 # -- Loading package standard
4577 # -- Loading package std_logic_1164
4578 # -- Loading package numeric_std
4579 # -- Loading package common_pkg
4580 # -- Loading package extension_pkg
4581 # -- Loading package extension_uart_pkg
4582 # -- Loading package core_pkg
4583 # -- Compiling architecture beh of rs232_rx
4584 # -- Loading package std_logic_arith
4585 # -- Loading package std_logic_unsigned
4586 # -- Loading entity rs232_rx
4587 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4588 # -- Loading package standard
4589 # -- Loading package std_logic_1164
4590 # -- Loading package numeric_std
4591 # -- Loading package common_pkg
4592 # -- Loading package extension_pkg
4593 # -- Loading package core_pkg
4594 # -- Compiling entity decoder
4595 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4596 # -- Loading package standard
4597 # -- Loading package std_logic_1164
4598 # -- Loading package numeric_std
4599 # -- Loading package mem_pkg
4600 # -- Loading package common_pkg
4601 # -- Loading package extension_pkg
4602 # -- Loading package core_pkg
4603 # -- Compiling architecture behav_d of decoder
4604 # -- Loading entity decoder
4605 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4606 # -- Loading package standard
4607 # -- Loading package std_logic_1164
4608 # -- Loading package numeric_std
4609 # -- Loading package common_pkg
4610 # -- Loading package extension_pkg
4611 # -- Loading package core_pkg
4612 # -- Compiling entity fetch_stage
4613 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4614 # -- Loading package standard
4615 # -- Loading package std_logic_1164
4616 # -- Loading package numeric_std
4617 # -- Loading package common_pkg
4618 # -- Loading package extension_pkg
4619 # -- Loading package core_pkg
4620 # -- Loading package mem_pkg
4621 # -- Compiling architecture behav of fetch_stage
4622 # -- Loading entity fetch_stage
4623 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4624 # -- Loading package standard
4625 # -- Loading package std_logic_1164
4626 # -- Loading package numeric_std
4627 # -- Loading package common_pkg
4628 # -- Loading package extension_pkg
4629 # -- Loading package core_pkg
4630 # -- Compiling entity decode_stage
4631 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4632 # -- Loading package standard
4633 # -- Loading package std_logic_1164
4634 # -- Loading package numeric_std
4635 # -- Loading package mem_pkg
4636 # -- Loading package common_pkg
4637 # -- Loading package extension_pkg
4638 # -- Loading package core_pkg
4639 # -- Compiling architecture behav of decode_stage
4640 # -- Loading entity decode_stage
4641 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4642 # -- Loading package standard
4643 # -- Loading package std_logic_1164
4644 # -- Loading package numeric_std
4645 # -- Loading package common_pkg
4646 # -- Loading package extension_pkg
4647 # -- Compiling package alu_pkg
4648 # -- Compiling package body alu_pkg
4649 # -- Loading package alu_pkg
4650 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4651 # -- Loading package standard
4652 # -- Loading package std_logic_1164
4653 # -- Loading package numeric_std
4654 # -- Loading package common_pkg
4655 # -- Compiling package extension_pkg
4656 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4657 # -- Loading package standard
4658 # -- Loading package std_logic_1164
4659 # -- Loading package numeric_std
4660 # -- Loading package common_pkg
4661 # -- Loading package extension_pkg
4662 # -- Loading package alu_pkg
4663 # -- Compiling entity exec_op
4664 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4665 # -- Loading package standard
4666 # -- Loading package std_logic_1164
4667 # -- Loading package numeric_std
4668 # -- Loading package common_pkg
4669 # -- Loading package extension_pkg
4670 # -- Loading package alu_pkg
4671 # -- Compiling architecture add_op of exec_op
4672 # -- Loading entity exec_op
4673 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4674 # -- Loading package standard
4675 # -- Loading package std_logic_1164
4676 # -- Loading package numeric_std
4677 # -- Loading package common_pkg
4678 # -- Loading package extension_pkg
4679 # -- Loading package alu_pkg
4680 # -- Compiling architecture and_op of exec_op
4681 # -- Loading entity exec_op
4682 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4683 # -- Loading package standard
4684 # -- Loading package std_logic_1164
4685 # -- Loading package numeric_std
4686 # -- Loading package common_pkg
4687 # -- Loading package extension_pkg
4688 # -- Loading package alu_pkg
4689 # -- Compiling architecture or_op of exec_op
4690 # -- Loading entity exec_op
4691 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4692 # -- Loading package standard
4693 # -- Loading package std_logic_1164
4694 # -- Loading package numeric_std
4695 # -- Loading package common_pkg
4696 # -- Loading package extension_pkg
4697 # -- Loading package alu_pkg
4698 # -- Compiling architecture xor_op of exec_op
4699 # -- Loading entity exec_op
4700 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4701 # -- Loading package standard
4702 # -- Loading package std_logic_1164
4703 # -- Loading package numeric_std
4704 # -- Loading package common_pkg
4705 # -- Loading package extension_pkg
4706 # -- Loading package alu_pkg
4707 # -- Compiling architecture shift_op of exec_op
4708 # -- Loading entity exec_op
4709 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4710 # -- Loading package standard
4711 # -- Loading package std_logic_1164
4712 # -- Loading package numeric_std
4713 # -- Loading package common_pkg
4714 # -- Loading package extension_pkg
4715 # -- Loading package alu_pkg
4716 # -- Compiling entity alu
4717 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4718 # -- Loading package standard
4719 # -- Loading package std_logic_1164
4720 # -- Loading package numeric_std
4721 # -- Loading package common_pkg
4722 # -- Loading package extension_pkg
4723 # -- Loading package alu_pkg
4724 # -- Compiling architecture behaviour of alu
4725 # -- Loading entity alu
4726 # -- Loading entity exec_op
4727 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4728 # -- Loading package standard
4729 # -- Loading package std_logic_1164
4730 # -- Loading package numeric_std
4731 # -- Loading package common_pkg
4732 # -- Compiling package extension_pkg
4733 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4734 # -- Loading package standard
4735 # -- Loading package std_logic_1164
4736 # -- Loading package numeric_std
4737 # -- Loading package common_pkg
4738 # -- Loading package extension_pkg
4739 # -- Compiling entity extension_gpm
4740 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4741 # -- Loading package standard
4742 # -- Loading package std_logic_1164
4743 # -- Loading package numeric_std
4744 # -- Loading package common_pkg
4745 # -- Loading package extension_pkg
4746 # -- Loading package core_pkg
4747 # -- Loading package mem_pkg
4748 # -- Compiling architecture behav of extension_gpm
4749 # -- Loading entity extension_gpm
4750 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4751 # -- Loading package standard
4752 # -- Loading package std_logic_1164
4753 # -- Loading package numeric_std
4754 # -- Loading package common_pkg
4755 # -- Loading package extension_pkg
4756 # -- Loading package alu_pkg
4757 # -- Compiling entity execute_stage
4758 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4759 # -- Loading package standard
4760 # -- Loading package std_logic_1164
4761 # -- Loading package numeric_std
4762 # -- Loading package common_pkg
4763 # -- Loading package extension_pkg
4764 # -- Loading package alu_pkg
4765 # -- Compiling architecture behav of execute_stage
4766 # -- Loading entity execute_stage
4767 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4768 # -- Loading package standard
4769 # -- Loading package std_logic_1164
4770 # -- Loading package numeric_std
4771 # -- Loading package common_pkg
4772 # -- Compiling entity writeback_stage
4773 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4774 # -- Loading package standard
4775 # -- Loading package std_logic_1164
4776 # -- Loading package numeric_std
4777 # -- Loading package common_pkg
4778 # -- Loading package extension_pkg
4779 # -- Loading package core_pkg
4780 # -- Loading package mem_pkg
4781 # -- Loading package extension_uart_pkg
4782 # -- Loading package extension_7seg_pkg
4783 # -- Compiling architecture behav of writeback_stage
4784 # -- Loading entity writeback_stage
4785 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
4786 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
4787 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
4788 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
4789 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
4790 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4791 # -- Loading package standard
4792 # -- Loading package std_logic_1164
4793 # -- Loading package numeric_std
4794 # -- Loading package common_pkg
4795 # -- Loading package extension_pkg
4796 # -- Loading package core_pkg
4797 # -- Compiling entity pipeline_tb
4798 # -- Compiling architecture behavior of pipeline_tb
4799 # -- Compiling configuration pipeline_conf_beh
4800 # -- Loading entity pipeline_tb
4801 # -- Loading architecture behavior of pipeline_tb
4802 # -- Loading entity fetch_stage
4803 # -- Loading entity decode_stage
4804 # -- Loading package alu_pkg
4805 # -- Loading entity execute_stage
4806 # -- Loading entity writeback_stage
4807 # vsim -t ns work.pipeline_conf_beh 
4808 # Loading std.standard
4809 # Loading ieee.std_logic_1164(body)
4810 # Loading ieee.numeric_std(body)
4811 # Loading work.common_pkg(body)
4812 # Loading work.extension_pkg
4813 # Loading work.core_pkg
4814 # Loading work.alu_pkg(body)
4815 # Loading work.pipeline_conf_beh
4816 # Loading work.pipeline_tb(behavior)
4817 # Loading work.mem_pkg
4818 # Loading work.fetch_stage(behav)
4819 # Loading work.r_w_ram(behaviour)
4820 # Loading work.rom(behaviour)
4821 # Loading work.decode_stage(behav)
4822 # Loading work.r2_w_ram(behaviour)
4823 # Loading work.decoder(behav_d)
4824 # Loading work.execute_stage(behav)
4825 # Loading work.alu(behaviour)
4826 # Loading work.exec_op(add_op)
4827 # Loading work.exec_op(and_op)
4828 # Loading work.exec_op(or_op)
4829 # Loading work.exec_op(xor_op)
4830 # Loading work.exec_op(shift_op)
4831 # Loading work.extension_gpm(behav)
4832 # Loading work.extension_uart_pkg
4833 # Loading work.extension_7seg_pkg(body)
4834 # Loading work.writeback_stage(behav)
4835 # Loading work.r_w_ram_be(behaviour)
4836 # Loading work.extension_uart(behav)
4837 # Loading ieee.std_logic_arith(body)
4838 # Loading ieee.std_logic_unsigned(body)
4839 # Loading work.rs232_tx(beh)
4840 # Loading work.rs232_rx(beh)
4841 # Loading work.extension_7seg(behav)
4842 # Loading work.extension_interrupt(behav)
4843 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4844 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
4845 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4846 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
4847 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4848 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4849 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4850 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
4851 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4852 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4853 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4854 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4855 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4856 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4857 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4858 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
4859 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
4860 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
4861 run
4862 do testcore.do
4863 # ** Warning: (vlib-34) Library already exists at "work".
4864 # Modifying modelsim.ini
4865 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4866 # -- Loading package standard
4867 # -- Loading package std_logic_1164
4868 # -- Loading package numeric_std
4869 # -- Compiling package mem_pkg
4870 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4871 # -- Loading package standard
4872 # -- Loading package std_logic_1164
4873 # -- Loading package numeric_std
4874 # -- Compiling entity r_w_ram
4875 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4876 # -- Loading package standard
4877 # -- Loading package std_logic_1164
4878 # -- Loading package numeric_std
4879 # -- Loading package mem_pkg
4880 # -- Compiling architecture behaviour of r_w_ram
4881 # -- Loading entity r_w_ram
4882 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4883 # -- Loading package standard
4884 # -- Loading package std_logic_1164
4885 # -- Loading package numeric_std
4886 # -- Compiling entity r_w_ram_be
4887 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4888 # -- Loading package standard
4889 # -- Loading package std_logic_1164
4890 # -- Loading package numeric_std
4891 # -- Loading package mem_pkg
4892 # -- Compiling architecture behaviour of r_w_ram_be
4893 # -- Loading entity r_w_ram_be
4894 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4895 # -- Loading package standard
4896 # -- Loading package std_logic_1164
4897 # -- Loading package numeric_std
4898 # -- Loading package mem_pkg
4899 # -- Compiling entity r2_w_ram
4900 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4901 # -- Loading package standard
4902 # -- Loading package std_logic_1164
4903 # -- Loading package numeric_std
4904 # -- Loading package mem_pkg
4905 # -- Compiling architecture behaviour of r2_w_ram
4906 # -- Loading entity r2_w_ram
4907 # ** Warning: ../src/r2_w_ram_b.vhd(18): (vcom-1074) Non-locally static OTHERS choice is allowed only if it is the only choice of the only association.
4908 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4909 # -- Loading package standard
4910 # -- Loading package std_logic_1164
4911 # -- Loading package numeric_std
4912 # -- Compiling entity rom
4913 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4914 # -- Loading package standard
4915 # -- Loading package std_logic_1164
4916 # -- Loading package numeric_std
4917 # -- Loading package mem_pkg
4918 # -- Compiling architecture behaviour of rom
4919 # -- Loading entity rom
4920 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4921 # -- Loading package standard
4922 # -- Loading package std_logic_1164
4923 # -- Loading package numeric_std
4924 # -- Compiling package common_pkg
4925 # -- Compiling package body common_pkg
4926 # -- Loading package common_pkg
4927 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4928 # -- Loading package standard
4929 # -- Loading package std_logic_1164
4930 # -- Loading package numeric_std
4931 # -- Loading package common_pkg
4932 # -- Compiling package extension_pkg
4933 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4934 # -- Loading package standard
4935 # -- Loading package std_logic_1164
4936 # -- Loading package numeric_std
4937 # -- Loading package common_pkg
4938 # -- Loading package extension_pkg
4939 # -- Compiling package core_pkg
4940 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4941 # -- Loading package standard
4942 # -- Loading package std_logic_1164
4943 # -- Loading package numeric_std
4944 # -- Loading package common_pkg
4945 # -- Loading package extension_pkg
4946 # -- Compiling package extension_uart_pkg
4947 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4948 # -- Loading package standard
4949 # -- Loading package std_logic_1164
4950 # -- Loading package numeric_std
4951 # -- Loading package common_pkg
4952 # -- Loading package extension_pkg
4953 # -- Loading package extension_uart_pkg
4954 # -- Compiling entity extension_uart
4955 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4956 # -- Loading package standard
4957 # -- Loading package std_logic_1164
4958 # -- Loading package numeric_std
4959 # -- Loading package common_pkg
4960 # -- Loading package extension_pkg
4961 # -- Loading package core_pkg
4962 # -- Loading package mem_pkg
4963 # -- Loading package extension_uart_pkg
4964 # -- Compiling architecture behav of extension_uart
4965 # -- Loading entity extension_uart
4966 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4967 # -- Loading package standard
4968 # -- Loading package std_logic_1164
4969 # -- Loading package numeric_std
4970 # -- Loading package common_pkg
4971 # -- Loading package extension_pkg
4972 # -- Compiling entity extension_interrupt
4973 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4974 # -- Loading package standard
4975 # -- Loading package std_logic_1164
4976 # -- Loading package numeric_std
4977 # -- Loading package common_pkg
4978 # -- Loading package extension_pkg
4979 # -- Compiling architecture behav of extension_interrupt
4980 # -- Loading entity extension_interrupt
4981 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4982 # -- Loading package standard
4983 # -- Loading package std_logic_1164
4984 # -- Loading package numeric_std
4985 # -- Loading package common_pkg
4986 # -- Loading package extension_pkg
4987 # -- Compiling package extension_7seg_pkg
4988 # -- Compiling package body extension_7seg_pkg
4989 # -- Loading package extension_7seg_pkg
4990 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4991 # -- Loading package standard
4992 # -- Loading package std_logic_1164
4993 # -- Loading package numeric_std
4994 # -- Loading package common_pkg
4995 # -- Loading package extension_pkg
4996 # -- Loading package extension_7seg_pkg
4997 # -- Compiling entity extension_7seg
4998 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
4999 # -- Loading package standard
5000 # -- Loading package std_logic_1164
5001 # -- Loading package numeric_std
5002 # -- Loading package common_pkg
5003 # -- Loading package extension_pkg
5004 # -- Loading package core_pkg
5005 # -- Loading package mem_pkg
5006 # -- Loading package extension_7seg_pkg
5007 # -- Compiling architecture behav of extension_7seg
5008 # -- Loading entity extension_7seg
5009 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5010 # -- Loading package standard
5011 # -- Loading package std_logic_1164
5012 # -- Loading package std_logic_arith
5013 # -- Loading package std_logic_unsigned
5014 # -- Loading package numeric_std
5015 # -- Loading package common_pkg
5016 # -- Loading package extension_pkg
5017 # -- Loading package core_pkg
5018 # -- Loading package extension_uart_pkg
5019 # -- Compiling entity rs232_tx
5020 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5021 # -- Loading package standard
5022 # -- Loading package std_logic_1164
5023 # -- Loading package numeric_std
5024 # -- Loading package common_pkg
5025 # -- Loading package extension_pkg
5026 # -- Loading package core_pkg
5027 # -- Loading package extension_uart_pkg
5028 # -- Compiling architecture beh of rs232_tx
5029 # -- Loading package std_logic_arith
5030 # -- Loading package std_logic_unsigned
5031 # -- Loading entity rs232_tx
5032 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5033 # -- Loading package standard
5034 # -- Loading package std_logic_1164
5035 # -- Loading package std_logic_arith
5036 # -- Loading package std_logic_unsigned
5037 # -- Loading package numeric_std
5038 # -- Loading package common_pkg
5039 # -- Loading package extension_pkg
5040 # -- Loading package core_pkg
5041 # -- Loading package extension_uart_pkg
5042 # -- Compiling entity rs232_rx
5043 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5044 # -- Loading package standard
5045 # -- Loading package std_logic_1164
5046 # -- Loading package numeric_std
5047 # -- Loading package common_pkg
5048 # -- Loading package extension_pkg
5049 # -- Loading package extension_uart_pkg
5050 # -- Loading package core_pkg
5051 # -- Compiling architecture beh of rs232_rx
5052 # -- Loading package std_logic_arith
5053 # -- Loading package std_logic_unsigned
5054 # -- Loading entity rs232_rx
5055 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5056 # -- Loading package standard
5057 # -- Loading package std_logic_1164
5058 # -- Loading package numeric_std
5059 # -- Loading package common_pkg
5060 # -- Loading package extension_pkg
5061 # -- Loading package core_pkg
5062 # -- Compiling entity decoder
5063 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5064 # -- Loading package standard
5065 # -- Loading package std_logic_1164
5066 # -- Loading package numeric_std
5067 # -- Loading package mem_pkg
5068 # -- Loading package common_pkg
5069 # -- Loading package extension_pkg
5070 # -- Loading package core_pkg
5071 # -- Compiling architecture behav_d of decoder
5072 # -- Loading entity decoder
5073 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5074 # -- Loading package standard
5075 # -- Loading package std_logic_1164
5076 # -- Loading package numeric_std
5077 # -- Loading package common_pkg
5078 # -- Loading package extension_pkg
5079 # -- Loading package core_pkg
5080 # -- Compiling entity fetch_stage
5081 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5082 # -- Loading package standard
5083 # -- Loading package std_logic_1164
5084 # -- Loading package numeric_std
5085 # -- Loading package common_pkg
5086 # -- Loading package extension_pkg
5087 # -- Loading package core_pkg
5088 # -- Loading package mem_pkg
5089 # -- Compiling architecture behav of fetch_stage
5090 # -- Loading entity fetch_stage
5091 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5092 # -- Loading package standard
5093 # -- Loading package std_logic_1164
5094 # -- Loading package numeric_std
5095 # -- Loading package common_pkg
5096 # -- Loading package extension_pkg
5097 # -- Loading package core_pkg
5098 # -- Compiling entity decode_stage
5099 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5100 # -- Loading package standard
5101 # -- Loading package std_logic_1164
5102 # -- Loading package numeric_std
5103 # -- Loading package mem_pkg
5104 # -- Loading package common_pkg
5105 # -- Loading package extension_pkg
5106 # -- Loading package core_pkg
5107 # -- Compiling architecture behav of decode_stage
5108 # -- Loading entity decode_stage
5109 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5110 # -- Loading package standard
5111 # -- Loading package std_logic_1164
5112 # -- Loading package numeric_std
5113 # -- Loading package common_pkg
5114 # -- Loading package extension_pkg
5115 # -- Compiling package alu_pkg
5116 # -- Compiling package body alu_pkg
5117 # -- Loading package alu_pkg
5118 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5119 # -- Loading package standard
5120 # -- Loading package std_logic_1164
5121 # -- Loading package numeric_std
5122 # -- Loading package common_pkg
5123 # -- Compiling package extension_pkg
5124 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5125 # -- Loading package standard
5126 # -- Loading package std_logic_1164
5127 # -- Loading package numeric_std
5128 # -- Loading package common_pkg
5129 # -- Loading package extension_pkg
5130 # -- Loading package alu_pkg
5131 # -- Compiling entity exec_op
5132 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5133 # -- Loading package standard
5134 # -- Loading package std_logic_1164
5135 # -- Loading package numeric_std
5136 # -- Loading package common_pkg
5137 # -- Loading package extension_pkg
5138 # -- Loading package alu_pkg
5139 # -- Compiling architecture add_op of exec_op
5140 # -- Loading entity exec_op
5141 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5142 # -- Loading package standard
5143 # -- Loading package std_logic_1164
5144 # -- Loading package numeric_std
5145 # -- Loading package common_pkg
5146 # -- Loading package extension_pkg
5147 # -- Loading package alu_pkg
5148 # -- Compiling architecture and_op of exec_op
5149 # -- Loading entity exec_op
5150 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5151 # -- Loading package standard
5152 # -- Loading package std_logic_1164
5153 # -- Loading package numeric_std
5154 # -- Loading package common_pkg
5155 # -- Loading package extension_pkg
5156 # -- Loading package alu_pkg
5157 # -- Compiling architecture or_op of exec_op
5158 # -- Loading entity exec_op
5159 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5160 # -- Loading package standard
5161 # -- Loading package std_logic_1164
5162 # -- Loading package numeric_std
5163 # -- Loading package common_pkg
5164 # -- Loading package extension_pkg
5165 # -- Loading package alu_pkg
5166 # -- Compiling architecture xor_op of exec_op
5167 # -- Loading entity exec_op
5168 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5169 # -- Loading package standard
5170 # -- Loading package std_logic_1164
5171 # -- Loading package numeric_std
5172 # -- Loading package common_pkg
5173 # -- Loading package extension_pkg
5174 # -- Loading package alu_pkg
5175 # -- Compiling architecture shift_op of exec_op
5176 # -- Loading entity exec_op
5177 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5178 # -- Loading package standard
5179 # -- Loading package std_logic_1164
5180 # -- Loading package numeric_std
5181 # -- Loading package common_pkg
5182 # -- Loading package extension_pkg
5183 # -- Loading package alu_pkg
5184 # -- Compiling entity alu
5185 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5186 # -- Loading package standard
5187 # -- Loading package std_logic_1164
5188 # -- Loading package numeric_std
5189 # -- Loading package common_pkg
5190 # -- Loading package extension_pkg
5191 # -- Loading package alu_pkg
5192 # -- Compiling architecture behaviour of alu
5193 # -- Loading entity alu
5194 # -- Loading entity exec_op
5195 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5196 # -- Loading package standard
5197 # -- Loading package std_logic_1164
5198 # -- Loading package numeric_std
5199 # -- Loading package common_pkg
5200 # -- Compiling package extension_pkg
5201 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5202 # -- Loading package standard
5203 # -- Loading package std_logic_1164
5204 # -- Loading package numeric_std
5205 # -- Loading package common_pkg
5206 # -- Loading package extension_pkg
5207 # -- Compiling entity extension_gpm
5208 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5209 # -- Loading package standard
5210 # -- Loading package std_logic_1164
5211 # -- Loading package numeric_std
5212 # -- Loading package common_pkg
5213 # -- Loading package extension_pkg
5214 # -- Loading package core_pkg
5215 # -- Loading package mem_pkg
5216 # -- Compiling architecture behav of extension_gpm
5217 # -- Loading entity extension_gpm
5218 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5219 # -- Loading package standard
5220 # -- Loading package std_logic_1164
5221 # -- Loading package numeric_std
5222 # -- Loading package common_pkg
5223 # -- Loading package extension_pkg
5224 # -- Loading package alu_pkg
5225 # -- Compiling entity execute_stage
5226 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5227 # -- Loading package standard
5228 # -- Loading package std_logic_1164
5229 # -- Loading package numeric_std
5230 # -- Loading package common_pkg
5231 # -- Loading package extension_pkg
5232 # -- Loading package alu_pkg
5233 # -- Compiling architecture behav of execute_stage
5234 # -- Loading entity execute_stage
5235 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5236 # -- Loading package standard
5237 # -- Loading package std_logic_1164
5238 # -- Loading package numeric_std
5239 # -- Loading package common_pkg
5240 # -- Compiling entity writeback_stage
5241 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5242 # -- Loading package standard
5243 # -- Loading package std_logic_1164
5244 # -- Loading package numeric_std
5245 # -- Loading package common_pkg
5246 # -- Loading package extension_pkg
5247 # -- Loading package core_pkg
5248 # -- Loading package mem_pkg
5249 # -- Loading package extension_uart_pkg
5250 # -- Loading package extension_7seg_pkg
5251 # -- Compiling architecture behav of writeback_stage
5252 # -- Loading entity writeback_stage
5253 # ** Warning: ../src/writeback_stage_b.vhd(334): Case choice must be a locally static expression.
5254 # ** Warning: ../src/writeback_stage_b.vhd(350): Case choice must be a locally static expression.
5255 # ** Warning: ../src/writeback_stage_b.vhd(366): Case choice must be a locally static expression.
5256 # ** Warning: ../src/writeback_stage_b.vhd(384): Case choice must be a locally static expression.
5257 # ** Warning: ../src/writeback_stage_b.vhd(397): Case choice must be a locally static expression.
5258 # Model Technology ModelSim ALTERA vcom 6.5e Compiler 2010.02 Feb 27 2010
5259 # -- Loading package standard
5260 # -- Loading package std_logic_1164
5261 # -- Loading package numeric_std
5262 # -- Loading package common_pkg
5263 # -- Loading package extension_pkg
5264 # -- Loading package core_pkg
5265 # -- Compiling entity pipeline_tb
5266 # -- Compiling architecture behavior of pipeline_tb
5267 # -- Compiling configuration pipeline_conf_beh
5268 # -- Loading entity pipeline_tb
5269 # -- Loading architecture behavior of pipeline_tb
5270 # -- Loading entity fetch_stage
5271 # -- Loading entity decode_stage
5272 # -- Loading package alu_pkg
5273 # -- Loading entity execute_stage
5274 # -- Loading entity writeback_stage
5275 # vsim -t ns work.pipeline_conf_beh 
5276 # Loading std.standard
5277 # Loading ieee.std_logic_1164(body)
5278 # Loading ieee.numeric_std(body)
5279 # Loading work.common_pkg(body)
5280 # Loading work.extension_pkg
5281 # Loading work.core_pkg
5282 # Loading work.alu_pkg(body)
5283 # Loading work.pipeline_conf_beh
5284 # Loading work.pipeline_tb(behavior)
5285 # Loading work.mem_pkg
5286 # Loading work.fetch_stage(behav)
5287 # Loading work.r_w_ram(behaviour)
5288 # Loading work.rom(behaviour)
5289 # Loading work.decode_stage(behav)
5290 # Loading work.r2_w_ram(behaviour)
5291 # Loading work.decoder(behav_d)
5292 # Loading work.execute_stage(behav)
5293 # Loading work.alu(behaviour)
5294 # Loading work.exec_op(add_op)
5295 # Loading work.exec_op(and_op)
5296 # Loading work.exec_op(or_op)
5297 # Loading work.exec_op(xor_op)
5298 # Loading work.exec_op(shift_op)
5299 # Loading work.extension_gpm(behav)
5300 # Loading work.extension_uart_pkg
5301 # Loading work.extension_7seg_pkg(body)
5302 # Loading work.writeback_stage(behav)
5303 # Loading work.r_w_ram_be(behaviour)
5304 # Loading work.extension_uart(behav)
5305 # Loading ieee.std_logic_arith(body)
5306 # Loading ieee.std_logic_unsigned(body)
5307 # Loading work.rs232_tx(beh)
5308 # Loading work.rs232_rx(beh)
5309 # Loading work.extension_7seg(behav)
5310 # Loading work.extension_interrupt(behav)
5311 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5312 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/writeback_st
5313 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5314 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/gpmp_inst
5315 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5316 #    Time: 0 ns  Iteration: 0  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5317 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5318 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st
5319 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5320 #    Time: 0 ns  Iteration: 1  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5321 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5322 #    Time: 0 ns  Iteration: 3  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5323 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5324 #    Time: 0 ns  Iteration: 4  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5325 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5326 #    Time: 0 ns  Iteration: 5  Instance: /pipeline_tb/exec_st/alu_inst/shift_inst
5327 # ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
5328 #    Time: 20 ns  Iteration: 1  Instance: /pipeline_tb/writeback_st/data_ram
5329 run