36139c0b40b25edd35d1dfc39d29ca3be0006307
[calu.git] / dt / dt.map.rpt
1 Analysis & Synthesis report for dt
2 Fri Dec 17 10:10:12 2010
3 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
4
5
6 ---------------------
7 ; Table of Contents ;
8 ---------------------
9   1. Legal Notice
10   2. Analysis & Synthesis Summary
11   3. Analysis & Synthesis Settings
12   4. Parallel Compilation
13   5. Analysis & Synthesis Source Files Read
14   6. Analysis & Synthesis Resource Usage Summary
15   7. Analysis & Synthesis Resource Utilization by Entity
16   8. Analysis & Synthesis RAM Summary
17   9. State Machine - |core_top|decode_stage:decode_st|dec_op_inst.op_group
18  10. Registers Removed During Synthesis
19  11. Removed Registers Triggering Further Register Optimizations
20  12. General Register Statistics
21  13. Inverted Register Statistics
22  14. Registers Packed Into Inferred Megafunctions
23  15. Multiplexer Restructuring Statistics (Restructuring Performed)
24  16. Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated
25  17. Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated
26  18. Parameter Settings for User Entity Instance: fetch_stage:fetch_st
27  19. Parameter Settings for User Entity Instance: fetch_stage:fetch_st|r_w_ram:instruction_ram
28  20. Parameter Settings for User Entity Instance: decode_stage:decode_st
29  21. Parameter Settings for User Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram
30  22. Parameter Settings for User Entity Instance: execute_stage:exec_st
31  23. Parameter Settings for User Entity Instance: execute_stage:exec_st|extension_gpm:gpmp_inst
32  24. Parameter Settings for User Entity Instance: writeback_stage:writeback_st
33  25. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|r_w_ram:data_ram
34  26. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart
35  27. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst
36  28. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0
37  29. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1
38  30. altsyncram Parameter Settings by Entity Instance
39  31. Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart"
40  32. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst"
41  33. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst"
42  34. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst"
43  35. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst"
44  36. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst"
45  37. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst"
46  38. Port Connectivity Checks: "execute_stage:exec_st"
47  39. Port Connectivity Checks: "decode_stage:decode_st|decoder:decoder_inst"
48  40. Analysis & Synthesis Messages
49
50
51
52 ----------------
53 ; Legal Notice ;
54 ----------------
55 Copyright (C) 1991-2010 Altera Corporation
56 Your use of Altera Corporation's design tools, logic functions 
57 and other software and tools, and its AMPP partner logic 
58 functions, and any output files from any of the foregoing 
59 (including device programming or simulation files), and any 
60 associated documentation or information are expressly subject 
61 to the terms and conditions of the Altera Program License 
62 Subscription Agreement, Altera MegaCore Function License 
63 Agreement, or other applicable license agreement, including, 
64 without limitation, that your use is for the sole purpose of 
65 programming logic devices manufactured by Altera and sold by 
66 Altera or its authorized distributors.  Please refer to the 
67 applicable agreement for further details.
68
69
70
71 +-----------------------------------------------------------------------------+
72 ; Analysis & Synthesis Summary                                                ;
73 +-----------------------------+-----------------------------------------------+
74 ; Analysis & Synthesis Status ; Successful - Fri Dec 17 10:10:12 2010         ;
75 ; Quartus II Version          ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ;
76 ; Revision Name               ; dt                                            ;
77 ; Top-level Entity Name       ; core_top                                      ;
78 ; Family                      ; Cyclone                                       ;
79 ; Total logic elements        ; 1,142                                         ;
80 ; Total pins                  ; 3                                             ;
81 ; Total virtual pins          ; 0                                             ;
82 ; Total memory bits           ; 512                                           ;
83 ; Total PLLs                  ; 0                                             ;
84 +-----------------------------+-----------------------------------------------+
85
86
87 +----------------------------------------------------------------------------------------------------------------------+
88 ; Analysis & Synthesis Settings                                                                                        ;
89 +----------------------------------------------------------------------------+--------------------+--------------------+
90 ; Option                                                                     ; Setting            ; Default Value      ;
91 +----------------------------------------------------------------------------+--------------------+--------------------+
92 ; Device                                                                     ; EP1C12Q240C8       ;                    ;
93 ; Top-level entity name                                                      ; core_top           ; dt                 ;
94 ; Family name                                                                ; Cyclone            ; Stratix II         ;
95 ; Use smart compilation                                                      ; Off                ; Off                ;
96 ; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On                 ; On                 ;
97 ; Enable compact report table                                                ; Off                ; Off                ;
98 ; Restructure Multiplexers                                                   ; Auto               ; Auto               ;
99 ; Create Debugging Nodes for IP Cores                                        ; Off                ; Off                ;
100 ; Preserve fewer node names                                                  ; On                 ; On                 ;
101 ; Disable OpenCore Plus hardware evaluation                                  ; Off                ; Off                ;
102 ; Verilog Version                                                            ; Verilog_2001       ; Verilog_2001       ;
103 ; VHDL Version                                                               ; VHDL_1993          ; VHDL_1993          ;
104 ; State Machine Processing                                                   ; Auto               ; Auto               ;
105 ; Safe State Machine                                                         ; Off                ; Off                ;
106 ; Extract Verilog State Machines                                             ; On                 ; On                 ;
107 ; Extract VHDL State Machines                                                ; On                 ; On                 ;
108 ; Ignore Verilog initial constructs                                          ; Off                ; Off                ;
109 ; Iteration limit for constant Verilog loops                                 ; 5000               ; 5000               ;
110 ; Iteration limit for non-constant Verilog loops                             ; 250                ; 250                ;
111 ; Add Pass-Through Logic to Inferred RAMs                                    ; On                 ; On                 ;
112 ; Parallel Synthesis                                                         ; On                 ; On                 ;
113 ; NOT Gate Push-Back                                                         ; On                 ; On                 ;
114 ; Power-Up Don't Care                                                        ; On                 ; On                 ;
115 ; Remove Redundant Logic Cells                                               ; Off                ; Off                ;
116 ; Remove Duplicate Registers                                                 ; On                 ; On                 ;
117 ; Ignore CARRY Buffers                                                       ; Off                ; Off                ;
118 ; Ignore CASCADE Buffers                                                     ; Off                ; Off                ;
119 ; Ignore GLOBAL Buffers                                                      ; Off                ; Off                ;
120 ; Ignore ROW GLOBAL Buffers                                                  ; Off                ; Off                ;
121 ; Ignore LCELL Buffers                                                       ; Off                ; Off                ;
122 ; Ignore SOFT Buffers                                                        ; On                 ; On                 ;
123 ; Limit AHDL Integers to 32 Bits                                             ; Off                ; Off                ;
124 ; Optimization Technique                                                     ; Balanced           ; Balanced           ;
125 ; Carry Chain Length                                                         ; 70                 ; 70                 ;
126 ; Auto Carry Chains                                                          ; On                 ; On                 ;
127 ; Auto Open-Drain Pins                                                       ; On                 ; On                 ;
128 ; Perform WYSIWYG Primitive Resynthesis                                      ; Off                ; Off                ;
129 ; Auto ROM Replacement                                                       ; On                 ; On                 ;
130 ; Auto RAM Replacement                                                       ; On                 ; On                 ;
131 ; Auto Shift Register Replacement                                            ; Auto               ; Auto               ;
132 ; Auto Clock Enable Replacement                                              ; On                 ; On                 ;
133 ; Strict RAM Replacement                                                     ; Off                ; Off                ;
134 ; Allow Synchronous Control Signals                                          ; On                 ; On                 ;
135 ; Force Use of Synchronous Clear Signals                                     ; Off                ; Off                ;
136 ; Auto RAM Block Balancing                                                   ; On                 ; On                 ;
137 ; Auto RAM to Logic Cell Conversion                                          ; Off                ; Off                ;
138 ; Auto Resource Sharing                                                      ; Off                ; Off                ;
139 ; Allow Any RAM Size For Recognition                                         ; Off                ; Off                ;
140 ; Allow Any ROM Size For Recognition                                         ; Off                ; Off                ;
141 ; Allow Any Shift Register Size For Recognition                              ; Off                ; Off                ;
142 ; Use LogicLock Constraints during Resource Balancing                        ; On                 ; On                 ;
143 ; Ignore translate_off and synthesis_off directives                          ; Off                ; Off                ;
144 ; Report Parameter Settings                                                  ; On                 ; On                 ;
145 ; Report Source Assignments                                                  ; On                 ; On                 ;
146 ; Report Connectivity Checks                                                 ; On                 ; On                 ;
147 ; Ignore Maximum Fan-Out Assignments                                         ; Off                ; Off                ;
148 ; Synchronization Register Chain Length                                      ; 2                  ; 2                  ;
149 ; PowerPlay Power Optimization                                               ; Normal compilation ; Normal compilation ;
150 ; HDL message level                                                          ; Level2             ; Level2             ;
151 ; Suppress Register Optimization Related Messages                            ; Off                ; Off                ;
152 ; Number of Removed Registers Reported in Synthesis Report                   ; 5000               ; 5000               ;
153 ; Number of Inverted Registers Reported in Synthesis Report                  ; 100                ; 100                ;
154 ; Clock MUX Protection                                                       ; On                 ; On                 ;
155 ; Block Design Naming                                                        ; Auto               ; Auto               ;
156 ; Synthesis Effort                                                           ; Auto               ; Auto               ;
157 ; Shift Register Replacement - Allow Asynchronous Clear Signal               ; On                 ; On                 ;
158 ; Analysis & Synthesis Message Level                                         ; Medium             ; Medium             ;
159 ; Disable Register Merging Across Hierarchies                                ; Auto               ; Auto               ;
160 ; Resource Aware Inference For Block RAM                                     ; On                 ; On                 ;
161 ; Synthesis Seed                                                             ; 1                  ; 1                  ;
162 +----------------------------------------------------------------------------+--------------------+--------------------+
163
164
165 Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
166 +-------------------------------------+
167 ; Parallel Compilation                ;
168 +----------------------------+--------+
169 ; Processors                 ; Number ;
170 +----------------------------+--------+
171 ; Number detected on machine ; 2      ;
172 ; Maximum allowed            ; 1      ;
173 +----------------------------+--------+
174
175
176 +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
177 ; Analysis & Synthesis Source Files Read                                                                                                                                              ;
178 +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+
179 ; File Name with User-Entered Path     ; Used in Netlist ; File Type                                             ; File Name with Absolute Path                                       ;
180 +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+
181 ; ../cpu/src/writeback_stage_b.vhd     ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/writeback_stage_b.vhd                 ;
182 ; ../cpu/src/writeback_stage.vhd       ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/writeback_stage.vhd                   ;
183 ; ../cpu/src/rs232_tx_arc.vhd          ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/rs232_tx_arc.vhd                      ;
184 ; ../cpu/src/rs232_tx.vhd              ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/rs232_tx.vhd                          ;
185 ; ../cpu/src/r_w_ram_b.vhd             ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/r_w_ram_b.vhd                         ;
186 ; ../cpu/src/r_w_ram.vhd               ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/r_w_ram.vhd                           ;
187 ; ../cpu/src/r2_w_ram_b.vhd            ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/r2_w_ram_b.vhd                        ;
188 ; ../cpu/src/r2_w_ram.vhd              ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/r2_w_ram.vhd                          ;
189 ; ../cpu/src/mem_pkg.vhd               ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/mem_pkg.vhd                           ;
190 ; ../cpu/src/fetch_stage_b.vhd         ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/fetch_stage_b.vhd                     ;
191 ; ../cpu/src/fetch_stage.vhd           ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/fetch_stage.vhd                       ;
192 ; ../cpu/src/extension_uart_pkg.vhd    ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension_uart_pkg.vhd                ;
193 ; ../cpu/src/extension_uart_b.vhd      ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension_uart_b.vhd                  ;
194 ; ../cpu/src/extension_uart.vhd        ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension_uart.vhd                    ;
195 ; ../cpu/src/extension_pkg.vhd         ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension_pkg.vhd                     ;
196 ; ../cpu/src/extension_b.vhd           ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension_b.vhd                       ;
197 ; ../cpu/src/extension.vhd             ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/extension.vhd                         ;
198 ; ../cpu/src/execute_stage_b.vhd       ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/execute_stage_b.vhd                   ;
199 ; ../cpu/src/execute_stage.vhd         ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/execute_stage.vhd                     ;
200 ; ../cpu/src/exec_op.vhd               ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op.vhd                           ;
201 ; ../cpu/src/decoder_b.vhd             ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/decoder_b.vhd                         ;
202 ; ../cpu/src/decoder.vhd               ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/decoder.vhd                           ;
203 ; ../cpu/src/decode_stage_b.vhd        ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/decode_stage_b.vhd                    ;
204 ; ../cpu/src/decode_stage.vhd          ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/decode_stage.vhd                      ;
205 ; ../cpu/src/core_top.vhd              ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/core_top.vhd                          ;
206 ; ../cpu/src/core_pkg.vhd              ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/core_pkg.vhd                          ;
207 ; ../cpu/src/common_pkg.vhd            ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/common_pkg.vhd                        ;
208 ; ../cpu/src/alu_pkg.vhd               ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/alu_pkg.vhd                           ;
209 ; ../cpu/src/alu_b.vhd                 ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/alu_b.vhd                             ;
210 ; ../cpu/src/alu.vhd                   ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/alu.vhd                               ;
211 ; ../cpu/src/exec_op/xor_op_b.vhd      ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op/xor_op_b.vhd                  ;
212 ; ../cpu/src/exec_op/shift_op_b.vhd    ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op/shift_op_b.vhd                ;
213 ; ../cpu/src/exec_op/or_op_b.vhd       ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op/or_op_b.vhd                   ;
214 ; ../cpu/src/exec_op/and_op_b.vhd      ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op/and_op_b.vhd                  ;
215 ; ../cpu/src/exec_op/add_op_b.vhd      ; yes             ; User VHDL File                                        ; /homes/c0726283/calu/cpu/src/exec_op/add_op_b.vhd                  ;
216 ; altsyncram.tdf                       ; yes             ; Megafunction                                          ; /opt/altera/10.0sp1/quartus/libraries/megafunctions/altsyncram.tdf ;
217 ; db/altsyncram_emk1.tdf               ; yes             ; Auto-Generated Megafunction                           ; /homes/c0726283/calu/dt/db/altsyncram_emk1.tdf                     ;
218 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; yes             ; Auto-Generated Auto-Found Memory Initialization File  ; /homes/c0726283/calu/dt/db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif       ;
219 +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+
220
221
222 +-------------------------------------------------------+
223 ; Analysis & Synthesis Resource Usage Summary           ;
224 +---------------------------------------------+---------+
225 ; Resource                                    ; Usage   ;
226 +---------------------------------------------+---------+
227 ; Total logic elements                        ; 1142    ;
228 ;     -- Combinational with no register       ; 927     ;
229 ;     -- Register only                        ; 86      ;
230 ;     -- Combinational with a register        ; 129     ;
231 ;                                             ;         ;
232 ; Logic element usage by number of LUT inputs ;         ;
233 ;     -- 4 input functions                    ; 467     ;
234 ;     -- 3 input functions                    ; 447     ;
235 ;     -- 2 input functions                    ; 123     ;
236 ;     -- 1 input functions                    ; 18      ;
237 ;     -- 0 input functions                    ; 1       ;
238 ;                                             ;         ;
239 ; Logic elements by mode                      ;         ;
240 ;     -- normal mode                          ; 936     ;
241 ;     -- arithmetic mode                      ; 206     ;
242 ;     -- qfbk mode                            ; 0       ;
243 ;     -- register cascade mode                ; 0       ;
244 ;     -- synchronous clear/load mode          ; 7       ;
245 ;     -- asynchronous clear/load mode         ; 202     ;
246 ;                                             ;         ;
247 ; Total registers                             ; 215     ;
248 ; Total logic cells in carry chains           ; 214     ;
249 ; I/O pins                                    ; 3       ;
250 ; Total memory bits                           ; 512     ;
251 ; Maximum fan-out node                        ; sys_clk ;
252 ; Maximum fan-out                             ; 279     ;
253 ; Total fan-out                               ; 4453    ;
254 ; Average fan-out                             ; 3.68    ;
255 +---------------------------------------------+---------+
256
257
258 +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
259 ; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                                                            ;
260 +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+
261 ; Compilation Hierarchy Node                   ; Logic Cells ; LC Registers ; Memory Bits ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                                                                                        ; Library Name ;
262 +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+
263 ; |core_top                                    ; 1142 (1)    ; 215          ; 512         ; 3    ; 0            ; 927 (1)      ; 86 (0)            ; 129 (0)          ; 214 (0)         ; 0 (0)      ; |core_top                                                                                                  ;              ;
264 ;    |decode_stage:decode_st|                  ; 109 (101)   ; 72           ; 512         ; 0    ; 0            ; 37 (29)      ; 52 (52)           ; 20 (20)          ; 11 (11)         ; 0 (0)      ; |core_top|decode_stage:decode_st                                                                           ;              ;
265 ;       |decoder:decoder_inst|                 ; 8 (8)       ; 0            ; 0           ; 0    ; 0            ; 8 (8)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|decoder:decoder_inst                                                      ;              ;
266 ;       |r2_w_ram:register_ram|                ; 0 (0)       ; 0            ; 512         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram                                                     ;              ;
267 ;          |altsyncram:ram_rtl_0|              ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0                                ;              ;
268 ;             |altsyncram_emk1:auto_generated| ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ;              ;
269 ;          |altsyncram:ram_rtl_1|              ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1                                ;              ;
270 ;             |altsyncram_emk1:auto_generated| ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ;              ;
271 ;    |execute_stage:exec_st|                   ; 826 (185)   ; 67           ; 0           ; 0    ; 0            ; 759 (149)    ; 20 (1)            ; 47 (35)          ; 171 (0)         ; 0 (0)      ; |core_top|execute_stage:exec_st                                                                            ;              ;
272 ;       |alu:alu_inst|                         ; 576 (255)   ; 0            ; 0           ; 0    ; 0            ; 576 (255)    ; 0 (0)             ; 0 (0)            ; 141 (43)        ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst                                                               ;              ;
273 ;          |exec_op:add_inst|                  ; 100 (100)   ; 0            ; 0           ; 0    ; 0            ; 100 (100)    ; 0 (0)             ; 0 (0)            ; 98 (98)         ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst                                              ;              ;
274 ;          |exec_op:or_inst|                   ; 13 (13)     ; 0            ; 0           ; 0    ; 0            ; 13 (13)      ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:or_inst                                               ;              ;
275 ;          |exec_op:shift_inst|                ; 208 (208)   ; 0            ; 0           ; 0    ; 0            ; 208 (208)    ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst                                            ;              ;
276 ;       |extension_gpm:gpmp_inst|              ; 65 (65)     ; 31           ; 0           ; 0    ; 0            ; 34 (34)      ; 19 (19)           ; 12 (12)          ; 30 (30)         ; 0 (0)      ; |core_top|execute_stage:exec_st|extension_gpm:gpmp_inst                                                    ;              ;
277 ;    |fetch_stage:fetch_st|                    ; 39 (30)     ; 17           ; 0           ; 0    ; 0            ; 22 (19)      ; 11 (11)           ; 6 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|fetch_stage:fetch_st                                                                             ;              ;
278 ;       |r_w_ram:instruction_ram|              ; 9 (9)       ; 6            ; 0           ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 6 (6)            ; 0 (0)           ; 0 (0)      ; |core_top|fetch_stage:fetch_st|r_w_ram:instruction_ram                                                     ;              ;
279 ;    |writeback_stage:writeback_st|            ; 167 (53)    ; 59           ; 0           ; 0    ; 0            ; 108 (49)     ; 3 (1)             ; 56 (3)           ; 32 (0)          ; 0 (0)      ; |core_top|writeback_stage:writeback_st                                                                     ;              ;
280 ;       |extension_uart:uart|                  ; 108 (14)    ; 49           ; 0           ; 0    ; 0            ; 59 (4)       ; 2 (2)             ; 47 (8)           ; 32 (0)          ; 0 (0)      ; |core_top|writeback_stage:writeback_st|extension_uart:uart                                                 ;              ;
281 ;          |rs232_tx:rs232_tx_inst|            ; 94 (94)     ; 39           ; 0           ; 0    ; 0            ; 55 (55)      ; 0 (0)             ; 39 (39)          ; 32 (32)         ; 0 (0)      ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst                          ;              ;
282 ;       |r_w_ram:data_ram|                     ; 6 (6)       ; 6            ; 0           ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 6 (6)            ; 0 (0)           ; 0 (0)      ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram                                                    ;              ;
283 +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+
284 Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
285
286
287 +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
288 ; Analysis & Synthesis RAM Summary                                                                                                                                                                                                                ;
289 +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+--------------------------------------+
290 ; Name                                                                                                        ; Type ; Mode             ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF                                  ;
291 +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+--------------------------------------+
292 ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 16           ; 32           ; 16           ; 32           ; 512  ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ;
293 ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 16           ; 32           ; 16           ; 32           ; 512  ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ;
294 +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+--------------------------------------+
295
296
297 Encoding Type:  One-Hot
298 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
299 ; State Machine - |core_top|decode_stage:decode_st|dec_op_inst.op_group                                                                                                                                                                                                                  ;
300 +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+
301 ; Name                           ; dec_op_inst.op_group.JMP_ST_OP ; dec_op_inst.op_group.JMP_OP ; dec_op_inst.op_group.LDST_OP ; dec_op_inst.op_group.SHIFT_OP ; dec_op_inst.op_group.XOR_OP ; dec_op_inst.op_group.OR_OP ; dec_op_inst.op_group.AND_OP ; dec_op_inst.op_group.ADDSUB_OP ;
302 +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+
303 ; dec_op_inst.op_group.ADDSUB_OP ; 0                              ; 0                           ; 0                            ; 0                             ; 0                           ; 0                          ; 0                           ; 0                              ;
304 ; dec_op_inst.op_group.AND_OP    ; 0                              ; 0                           ; 0                            ; 0                             ; 0                           ; 0                          ; 1                           ; 1                              ;
305 ; dec_op_inst.op_group.OR_OP     ; 0                              ; 0                           ; 0                            ; 0                             ; 0                           ; 1                          ; 0                           ; 1                              ;
306 ; dec_op_inst.op_group.XOR_OP    ; 0                              ; 0                           ; 0                            ; 0                             ; 1                           ; 0                          ; 0                           ; 1                              ;
307 ; dec_op_inst.op_group.SHIFT_OP  ; 0                              ; 0                           ; 0                            ; 1                             ; 0                           ; 0                          ; 0                           ; 1                              ;
308 ; dec_op_inst.op_group.LDST_OP   ; 0                              ; 0                           ; 1                            ; 0                             ; 0                           ; 0                          ; 0                           ; 1                              ;
309 ; dec_op_inst.op_group.JMP_OP    ; 0                              ; 1                           ; 0                            ; 0                             ; 0                           ; 0                          ; 0                           ; 1                              ;
310 ; dec_op_inst.op_group.JMP_ST_OP ; 1                              ; 0                           ; 0                            ; 0                             ; 0                           ; 0                          ; 0                           ; 1                              ;
311 +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+
312
313
314 +--------------------------------------------------------------------------------------------------------------------------------------------------------------+
315 ; Registers Removed During Synthesis                                                                                                                           ;
316 +-------------------------------------------------------------------------------------+------------------------------------------------------------------------+
317 ; Register name                                                                       ; Reason for Removal                                                     ;
318 +-------------------------------------------------------------------------------------+------------------------------------------------------------------------+
319 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[29..31]                      ; Stuck at VCC due to stuck port data_in                                 ;
320 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[28]                          ; Stuck at GND due to stuck port data_in                                 ;
321 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[24]                          ; Stuck at VCC due to stuck port data_in                                 ;
322 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[0,2,5,8,10..14,16..18,20,22] ; Stuck at GND due to stuck port data_in                                 ;
323 ; decode_stage:decode_st|dec_op_inst.prog_cnt[11..31]                                 ; Stuck at GND due to stuck port data_in                                 ;
324 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29..31]                       ; Stuck at VCC due to stuck port data_in                                 ;
325 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[28]                           ; Stuck at GND due to stuck port data_in                                 ;
326 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24]                           ; Stuck at VCC due to stuck port data_in                                 ;
327 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0,2,5,8,10..14,16..18,20,22]  ; Stuck at GND due to stuck port data_in                                 ;
328 ; writeback_stage:writeback_st|wb_reg.hword                                           ; Stuck at GND due to stuck port data_in                                 ;
329 ; writeback_stage:writeback_st|wb_reg.byte_s                                          ; Stuck at GND due to stuck port data_in                                 ;
330 ; decode_stage:decode_st|dec_op_inst.condition[1..3]                                  ; Stuck at VCC due to stuck port data_in                                 ;
331 ; decode_stage:decode_st|dec_op_inst.op_detail[5]                                     ; Stuck at GND due to stuck port data_in                                 ;
332 ; decode_stage:decode_st|dec_op_inst.displacement[0,2,5,8,10..31]                     ; Stuck at GND due to stuck port data_in                                 ;
333 ; decode_stage:decode_st|dec_op_inst.saddr1[1,3]                                      ; Stuck at GND due to stuck port data_in                                 ;
334 ; decode_stage:decode_st|dec_op_inst.saddr2[1,3]                                      ; Stuck at GND due to stuck port data_in                                 ;
335 ; decode_stage:decode_st|dec_op_inst.daddr[1,3]                                       ; Stuck at GND due to stuck port data_in                                 ;
336 ; execute_stage:exec_st|reg.res_addr[1,3]                                             ; Stuck at GND due to stuck port data_in                                 ;
337 ; decode_stage:decode_st|rtw_rec.immediate[5,7,9..11,13,15]                           ; Stuck at GND due to stuck port data_in                                 ;
338 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                       ; Lost fanout                                                            ;
339 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo                       ; Lost fanout                                                            ;
340 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign                       ; Lost fanout                                                            ;
341 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29]                       ; Lost fanout                                                            ;
342 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28]                       ; Lost fanout                                                            ;
343 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27]                       ; Lost fanout                                                            ;
344 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][26]                       ; Lost fanout                                                            ;
345 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][25]                       ; Lost fanout                                                            ;
346 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][24]                       ; Lost fanout                                                            ;
347 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][23]                       ; Lost fanout                                                            ;
348 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][22]                       ; Lost fanout                                                            ;
349 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][21]                       ; Lost fanout                                                            ;
350 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][20]                       ; Lost fanout                                                            ;
351 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][19]                       ; Lost fanout                                                            ;
352 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][18]                       ; Lost fanout                                                            ;
353 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][17]                       ; Lost fanout                                                            ;
354 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][16]                       ; Lost fanout                                                            ;
355 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][15]                       ; Lost fanout                                                            ;
356 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][14]                       ; Lost fanout                                                            ;
357 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][13]                       ; Lost fanout                                                            ;
358 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][12]                       ; Lost fanout                                                            ;
359 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][11]                       ; Lost fanout                                                            ;
360 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][10]                       ; Lost fanout                                                            ;
361 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][9]                        ; Lost fanout                                                            ;
362 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][8]                        ; Lost fanout                                                            ;
363 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][7]                        ; Lost fanout                                                            ;
364 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][6]                        ; Lost fanout                                                            ;
365 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][5]                        ; Lost fanout                                                            ;
366 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][4]                        ; Lost fanout                                                            ;
367 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][3]                        ; Lost fanout                                                            ;
368 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][2]                        ; Lost fanout                                                            ;
369 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][1]                        ; Lost fanout                                                            ;
370 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][0]                        ; Lost fanout                                                            ;
371 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][29]                       ; Lost fanout                                                            ;
372 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][28]                       ; Lost fanout                                                            ;
373 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][27]                       ; Lost fanout                                                            ;
374 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][26]                       ; Lost fanout                                                            ;
375 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][25]                       ; Lost fanout                                                            ;
376 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][24]                       ; Lost fanout                                                            ;
377 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][23]                       ; Lost fanout                                                            ;
378 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][22]                       ; Lost fanout                                                            ;
379 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][21]                       ; Lost fanout                                                            ;
380 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][20]                       ; Lost fanout                                                            ;
381 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][19]                       ; Lost fanout                                                            ;
382 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][18]                       ; Lost fanout                                                            ;
383 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][17]                       ; Lost fanout                                                            ;
384 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][16]                       ; Lost fanout                                                            ;
385 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][15]                       ; Lost fanout                                                            ;
386 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][14]                       ; Lost fanout                                                            ;
387 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][13]                       ; Lost fanout                                                            ;
388 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][12]                       ; Lost fanout                                                            ;
389 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][11]                       ; Lost fanout                                                            ;
390 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][10]                       ; Lost fanout                                                            ;
391 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][9]                        ; Lost fanout                                                            ;
392 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][8]                        ; Lost fanout                                                            ;
393 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][7]                        ; Lost fanout                                                            ;
394 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][6]                        ; Lost fanout                                                            ;
395 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][5]                        ; Lost fanout                                                            ;
396 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][4]                        ; Lost fanout                                                            ;
397 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][3]                        ; Lost fanout                                                            ;
398 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][2]                        ; Lost fanout                                                            ;
399 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][1]                        ; Lost fanout                                                            ;
400 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][0]                        ; Lost fanout                                                            ;
401 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][29]                       ; Lost fanout                                                            ;
402 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][28]                       ; Lost fanout                                                            ;
403 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][27]                       ; Lost fanout                                                            ;
404 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][26]                       ; Lost fanout                                                            ;
405 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][25]                       ; Lost fanout                                                            ;
406 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][24]                       ; Lost fanout                                                            ;
407 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][23]                       ; Lost fanout                                                            ;
408 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][22]                       ; Lost fanout                                                            ;
409 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][21]                       ; Lost fanout                                                            ;
410 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][20]                       ; Lost fanout                                                            ;
411 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][19]                       ; Lost fanout                                                            ;
412 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][18]                       ; Lost fanout                                                            ;
413 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][17]                       ; Lost fanout                                                            ;
414 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][16]                       ; Lost fanout                                                            ;
415 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][15]                       ; Lost fanout                                                            ;
416 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][14]                       ; Lost fanout                                                            ;
417 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][13]                       ; Lost fanout                                                            ;
418 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][12]                       ; Lost fanout                                                            ;
419 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][11]                       ; Lost fanout                                                            ;
420 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][10]                       ; Lost fanout                                                            ;
421 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][9]                        ; Lost fanout                                                            ;
422 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][8]                        ; Lost fanout                                                            ;
423 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][7]                        ; Lost fanout                                                            ;
424 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][6]                        ; Lost fanout                                                            ;
425 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][5]                        ; Lost fanout                                                            ;
426 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][4]                        ; Lost fanout                                                            ;
427 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][3]                        ; Lost fanout                                                            ;
428 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][2]                        ; Lost fanout                                                            ;
429 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1]                        ; Lost fanout                                                            ;
430 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0]                        ; Lost fanout                                                            ;
431 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[23]                          ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ;
432 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[1]                           ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[26] ;
433 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[4,6]                         ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[25] ;
434 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[19]                          ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ;
435 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[3]                           ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[15] ;
436 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[7]                           ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]  ;
437 ; decode_stage:decode_st|dec_op_inst.op_detail[0]                                     ; Merged with decode_stage:decode_st|rtw_rec.imm_set                     ;
438 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[23]                           ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27]  ;
439 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[1]                            ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26]  ;
440 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[4,6]                          ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[25]  ;
441 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[19]                           ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21]  ;
442 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[3]                            ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[15]  ;
443 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[7]                            ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9]   ;
444 ; decode_stage:decode_st|dec_op_inst.daddr[2]                                         ; Lost fanout                                                            ;
445 ; execute_stage:exec_st|reg.res_addr[0]                                               ; Merged with execute_stage:exec_st|reg.res_addr[2]                      ;
446 ; decode_stage:decode_st|rtw_rec.immediate[18,21,23..27,29..30]                       ; Merged with decode_stage:decode_st|rtw_rec.immediate[31]               ;
447 ; decode_stage:decode_st|rtw_rec.immediate[16]                                        ; Merged with decode_stage:decode_st|rtw_rec.immediate[28]               ;
448 ; decode_stage:decode_st|rtw_rec.immediate[20]                                        ; Merged with decode_stage:decode_st|rtw_rec.immediate[22]               ;
449 ; decode_stage:decode_st|rtw_rec.immediate[17]                                        ; Merged with decode_stage:decode_st|rtw_rec.immediate[19]               ;
450 ; decode_stage:decode_st|rtw_rec.immediate[1]                                         ; Merged with decode_stage:decode_st|rtw_rec.immediate[3]                ;
451 ; decode_stage:decode_st|dec_op_inst.displacement[7]                                  ; Merged with decode_stage:decode_st|dec_op_inst.displacement[9]         ;
452 ; decode_stage:decode_st|dec_op_inst.displacement[4]                                  ; Merged with decode_stage:decode_st|dec_op_inst.displacement[6]         ;
453 ; decode_stage:decode_st|dec_op_inst.saddr2[0]                                        ; Merged with decode_stage:decode_st|dec_op_inst.saddr2[2]               ;
454 ; decode_stage:decode_st|dec_op_inst.op_detail[1]                                     ; Merged with decode_stage:decode_st|dec_op_inst.op_detail[2]            ;
455 ; decode_stage:decode_st|rtw_rec.immediate[19,22,28]                                  ; Merged with decode_stage:decode_st|rtw_rec.immediate[31]               ;
456 ; decode_stage:decode_st|dec_op_inst.op_group.AND_OP                                  ; Lost fanout                                                            ;
457 ; decode_stage:decode_st|dec_op_inst.op_group.XOR_OP                                  ; Lost fanout                                                            ;
458 ; decode_stage:decode_st|rtw_rec.immediate[31]                                        ; Merged with decode_stage:decode_st|dec_op_inst.op_group.OR_OP          ;
459 ; decode_stage:decode_st|rtw_rec.immediate[8]                                         ; Merged with decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP      ;
460 ; fetch_stage:fetch_st|instr_r_addr[11..31]                                           ; Lost fanout                                                            ;
461 ; Total Number of Removed Registers = 261                                             ;                                                                        ;
462 +-------------------------------------------------------------------------------------+------------------------------------------------------------------------+
463
464
465 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
466 ; Removed Registers Triggering Further Register Optimizations                                                                                                                ;
467 +-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+
468 ; Register name                                             ; Reason for Removal        ; Registers Removed due to This Register                                             ;
469 +-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+
470 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24] ; Stuck at VCC              ; decode_stage:decode_st|dec_op_inst.op_detail[5],                                   ;
471 ;                                                           ; due to stuck port data_in ; decode_stage:decode_st|dec_op_inst.saddr1[3],                                      ;
472 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.saddr1[1],                                      ;
473 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.saddr2[3],                                      ;
474 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.saddr2[1],                                      ;
475 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[15],                                      ;
476 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[13],                                      ;
477 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[11],                                      ;
478 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[10],                                      ;
479 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[9],                                       ;
480 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[7],                                       ;
481 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[5]                                        ;
482 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31] ; Stuck at VCC              ; decode_stage:decode_st|dec_op_inst.condition[3],                                   ;
483 ;                                                           ; due to stuck port data_in ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo,                     ;
484 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign                      ;
485 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[22] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.daddr[3], execute_stage:exec_st|reg.res_addr[3] ;
486 ;                                                           ; due to stuck port data_in ;                                                                                    ;
487 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[20] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.daddr[1], execute_stage:exec_st|reg.res_addr[1] ;
488 ;                                                           ; due to stuck port data_in ;                                                                                    ;
489 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[30] ; Stuck at VCC              ; decode_stage:decode_st|dec_op_inst.condition[2]                                    ;
490 ;                                                           ; due to stuck port data_in ;                                                                                    ;
491 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29] ; Stuck at VCC              ; decode_stage:decode_st|dec_op_inst.condition[1]                                    ;
492 ;                                                           ; due to stuck port data_in ;                                                                                    ;
493 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[14] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[14]                                ;
494 ;                                                           ; due to stuck port data_in ;                                                                                    ;
495 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[13] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[13]                                ;
496 ;                                                           ; due to stuck port data_in ;                                                                                    ;
497 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[12] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[12]                                ;
498 ;                                                           ; due to stuck port data_in ;                                                                                    ;
499 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[11] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[11]                                ;
500 ;                                                           ; due to stuck port data_in ;                                                                                    ;
501 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[10] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[10]                                ;
502 ;                                                           ; due to stuck port data_in ;                                                                                    ;
503 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[8]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[8]                                 ;
504 ;                                                           ; due to stuck port data_in ;                                                                                    ;
505 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[5]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[5]                                 ;
506 ;                                                           ; due to stuck port data_in ;                                                                                    ;
507 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[2]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[2]                                 ;
508 ;                                                           ; due to stuck port data_in ;                                                                                    ;
509 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[0]                                 ;
510 ;                                                           ; due to stuck port data_in ;                                                                                    ;
511 +-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+
512
513
514 +------------------------------------------------------+
515 ; General Register Statistics                          ;
516 +----------------------------------------------+-------+
517 ; Statistic                                    ; Value ;
518 +----------------------------------------------+-------+
519 ; Total registers                              ; 215   ;
520 ; Number of registers using Synchronous Clear  ; 4     ;
521 ; Number of registers using Synchronous Load   ; 6     ;
522 ; Number of registers using Asynchronous Clear ; 191   ;
523 ; Number of registers using Asynchronous Load  ; 11    ;
524 ; Number of registers using Clock Enable       ; 44    ;
525 ; Number of registers using Preset             ; 0     ;
526 +----------------------------------------------+-------+
527
528
529 +------------------------------------------------------------------------------------------------+
530 ; Inverted Register Statistics                                                                   ;
531 +--------------------------------------------------------------------------------------+---------+
532 ; Inverted Register                                                                    ; Fan out ;
533 +--------------------------------------------------------------------------------------+---------+
534 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int   ; 1       ;
535 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[23] ; 2       ;
536 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[22] ; 2       ;
537 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[21] ; 2       ;
538 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[20] ; 2       ;
539 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[19] ; 2       ;
540 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[16] ; 2       ;
541 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[13] ; 2       ;
542 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[11] ; 2       ;
543 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[10] ; 2       ;
544 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[8]  ; 2       ;
545 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[4]  ; 2       ;
546 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1]                         ; 2       ;
547 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7]                         ; 2       ;
548 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2]                         ; 2       ;
549 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3]                         ; 2       ;
550 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4]                         ; 2       ;
551 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5]                         ; 2       ;
552 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6]                         ; 2       ;
553 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8]                         ; 2       ;
554 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9]                         ; 2       ;
555 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10]                        ; 2       ;
556 ; decode_stage:decode_st|dec_op_inst.condition[0]                                      ; 1       ;
557 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0]                         ; 4       ;
558 ; Total number of inverted registers = 24                                              ;         ;
559 +--------------------------------------------------------------------------------------+---------+
560
561
562 +-------------------------------------------------------------------------------------------------------------------------+
563 ; Registers Packed Into Inferred Megafunctions                                                                            ;
564 +------------------------------------------------------------+-----------------------------------------------------+------+
565 ; Register Name                                              ; Megafunction                                        ; Type ;
566 +------------------------------------------------------------+-----------------------------------------------------+------+
567 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[0]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
568 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[1]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
569 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[2]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
570 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[3]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
571 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[4]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
572 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[5]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
573 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[6]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
574 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[7]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
575 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[8]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
576 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[9]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
577 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[10] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
578 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[11] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
579 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[12] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
580 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[13] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
581 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[14] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
582 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[15] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
583 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[16] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
584 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[17] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
585 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[18] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
586 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[19] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
587 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[20] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
588 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[21] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
589 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[22] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
590 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[23] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
591 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[24] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
592 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[25] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
593 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[26] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
594 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[27] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
595 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[28] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
596 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[29] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
597 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[30] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
598 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[31] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
599 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[0]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
600 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[1]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
601 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[2]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
602 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[3]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
603 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[4]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
604 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[5]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
605 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[6]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
606 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[7]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
607 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[8]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
608 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[9]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
609 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[10] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
610 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[11] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
611 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[12] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
612 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[13] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
613 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[14] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
614 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[15] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
615 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[16] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
616 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[17] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
617 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[18] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
618 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[19] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
619 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[20] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
620 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[21] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
621 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[22] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
622 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[23] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
623 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[24] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
624 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[25] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
625 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[26] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
626 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[27] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
627 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[28] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
628 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[29] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
629 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[30] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
630 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[31] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
631 +------------------------------------------------------------+-----------------------------------------------------+------+
632
633
634 +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
635 ; Multiplexer Restructuring Statistics (Restructuring Performed)                                                                                                                                              ;
636 +--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------+
637 ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output                                                                    ;
638 +--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------+
639 ; 3:1                ; 21 bits   ; 42 LEs        ; 21 LEs               ; 21 LEs                 ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[6] ;
640 ; 3:1                ; 4 bits    ; 8 LEs         ; 4 LEs                ; 4 LEs                  ; Yes        ; |core_top|decode_stage:decode_st|dec_op_inst.displacement[1]                                  ;
641 ; 5:1                ; 7 bits    ; 21 LEs        ; 14 LEs               ; 7 LEs                  ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6]                    ;
642 ; 5:1                ; 14 bits   ; 42 LEs        ; 28 LEs               ; 14 LEs                 ; Yes        ; |core_top|fetch_stage:fetch_st|instr_r_addr[30]                                               ;
643 ; 5:1                ; 7 bits    ; 21 LEs        ; 14 LEs               ; 7 LEs                  ; Yes        ; |core_top|fetch_stage:fetch_st|instr_r_addr[21]                                               ;
644 ; 18:1               ; 3 bits    ; 36 LEs        ; 3 LEs                ; 33 LEs                 ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[2]      ;
645 ; 9:1                ; 5 bits    ; 30 LEs        ; 25 LEs               ; 5 LEs                  ; Yes        ; |core_top|execute_stage:exec_st|reg.result[11]                                                ;
646 ; 9:1                ; 13 bits   ; 78 LEs        ; 65 LEs               ; 13 LEs                 ; Yes        ; |core_top|execute_stage:exec_st|reg.result[17]                                                ;
647 ; 10:1               ; 4 bits    ; 24 LEs        ; 24 LEs               ; 0 LEs                  ; Yes        ; |core_top|execute_stage:exec_st|reg.result[6]                                                 ;
648 ; 10:1               ; 4 bits    ; 24 LEs        ; 24 LEs               ; 0 LEs                  ; Yes        ; |core_top|execute_stage:exec_st|reg.result[25]                                                ;
649 ; 11:1               ; 2 bits    ; 14 LEs        ; 12 LEs               ; 2 LEs                  ; Yes        ; |core_top|execute_stage:exec_st|reg.result[1]                                                 ;
650 ; 11:1               ; 2 bits    ; 14 LEs        ; 12 LEs               ; 2 LEs                  ; Yes        ; |core_top|execute_stage:exec_st|reg.result[29]                                                ;
651 ; 3:1                ; 11 bits   ; 22 LEs        ; 22 LEs               ; 0 LEs                  ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[4] ;
652 ; 3:1                ; 32 bits   ; 64 LEs        ; 64 LEs               ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|left_operand[13]                                              ;
653 ; 4:1                ; 3 bits    ; 6 LEs         ; 6 LEs                ; 0 LEs                  ; No         ; |core_top|decode_stage:decode_st|decoder:decoder_inst|instr_s                                 ;
654 ; 4:1                ; 7 bits    ; 14 LEs        ; 14 LEs               ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|right_operand[7]                                              ;
655 ; 4:1                ; 25 bits   ; 50 LEs        ; 50 LEs               ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|right_operand[14]                                             ;
656 ; 4:1                ; 30 bits   ; 60 LEs        ; 60 LEs               ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|alu:alu_inst|Selector53                                       ;
657 ; 5:1                ; 5 bits    ; 15 LEs        ; 10 LEs               ; 5 LEs                  ; No         ; |core_top|fetch_stage:fetch_st|instr_r_addr_nxt[2]                                            ;
658 ; 5:1                ; 6 bits    ; 18 LEs        ; 12 LEs               ; 6 LEs                  ; No         ; |core_top|fetch_stage:fetch_st|instr_r_addr_nxt[3]                                            ;
659 ; 4:1                ; 11 bits   ; 22 LEs        ; 11 LEs               ; 11 LEs                 ; No         ; |core_top|execute_stage:exec_st|alu:alu_inst|Selector107                                      ;
660 +--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------------------------------------------------------------+
661
662
663 +-------------------------------------------------------------------------------------------------------------------------+
664 ; Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ;
665 +---------------------------------+--------------------+------+-----------------------------------------------------------+
666 ; Assignment                      ; Value              ; From ; To                                                        ;
667 +---------------------------------+--------------------+------+-----------------------------------------------------------+
668 ; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; -    ; -                                                         ;
669 +---------------------------------+--------------------+------+-----------------------------------------------------------+
670
671
672 +-------------------------------------------------------------------------------------------------------------------------+
673 ; Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ;
674 +---------------------------------+--------------------+------+-----------------------------------------------------------+
675 ; Assignment                      ; Value              ; From ; To                                                        ;
676 +---------------------------------+--------------------+------+-----------------------------------------------------------+
677 ; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; -    ; -                                                         ;
678 +---------------------------------+--------------------+------+-----------------------------------------------------------+
679
680
681 +-------------------------------------------------------------------+
682 ; Parameter Settings for User Entity Instance: fetch_stage:fetch_st ;
683 +----------------+-------+------------------------------------------+
684 ; Parameter Name ; Value ; Type                                     ;
685 +----------------+-------+------------------------------------------+
686 ; reset_value    ; '0'   ; Enumerated                               ;
687 ; logic_act      ; '1'   ; Enumerated                               ;
688 +----------------+-------+------------------------------------------+
689 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
690
691
692 +-------------------------------------------------------------------------------------------+
693 ; Parameter Settings for User Entity Instance: fetch_stage:fetch_st|r_w_ram:instruction_ram ;
694 +----------------+-------+------------------------------------------------------------------+
695 ; Parameter Name ; Value ; Type                                                             ;
696 +----------------+-------+------------------------------------------------------------------+
697 ; addr_width     ; 11    ; Signed Integer                                                   ;
698 ; data_width     ; 32    ; Signed Integer                                                   ;
699 +----------------+-------+------------------------------------------------------------------+
700 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
701
702
703 +---------------------------------------------------------------------+
704 ; Parameter Settings for User Entity Instance: decode_stage:decode_st ;
705 +----------------+-------+--------------------------------------------+
706 ; Parameter Name ; Value ; Type                                       ;
707 +----------------+-------+--------------------------------------------+
708 ; reset_value    ; '0'   ; Enumerated                                 ;
709 ; logic_act      ; '1'   ; Enumerated                                 ;
710 +----------------+-------+--------------------------------------------+
711 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
712
713
714 +-------------------------------------------------------------------------------------------+
715 ; Parameter Settings for User Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram ;
716 +----------------+-------+------------------------------------------------------------------+
717 ; Parameter Name ; Value ; Type                                                             ;
718 +----------------+-------+------------------------------------------------------------------+
719 ; addr_width     ; 4     ; Signed Integer                                                   ;
720 ; data_width     ; 32    ; Signed Integer                                                   ;
721 +----------------+-------+------------------------------------------------------------------+
722 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
723
724
725 +--------------------------------------------------------------------+
726 ; Parameter Settings for User Entity Instance: execute_stage:exec_st ;
727 +----------------+-------+-------------------------------------------+
728 ; Parameter Name ; Value ; Type                                      ;
729 +----------------+-------+-------------------------------------------+
730 ; reset_value    ; '0'   ; Enumerated                                ;
731 +----------------+-------+-------------------------------------------+
732 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
733
734
735 +--------------------------------------------------------------------------------------------+
736 ; Parameter Settings for User Entity Instance: execute_stage:exec_st|extension_gpm:gpmp_inst ;
737 +----------------+-------+-------------------------------------------------------------------+
738 ; Parameter Name ; Value ; Type                                                              ;
739 +----------------+-------+-------------------------------------------------------------------+
740 ; reset_value    ; '0'   ; Enumerated                                                        ;
741 +----------------+-------+-------------------------------------------------------------------+
742 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
743
744
745 +---------------------------------------------------------------------------+
746 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st ;
747 +----------------+-------+--------------------------------------------------+
748 ; Parameter Name ; Value ; Type                                             ;
749 +----------------+-------+--------------------------------------------------+
750 ; reset_value    ; '0'   ; Enumerated                                       ;
751 ; logic_act      ; '1'   ; Enumerated                                       ;
752 +----------------+-------+--------------------------------------------------+
753 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
754
755
756 +--------------------------------------------------------------------------------------------+
757 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|r_w_ram:data_ram ;
758 +----------------+-------+-------------------------------------------------------------------+
759 ; Parameter Name ; Value ; Type                                                              ;
760 +----------------+-------+-------------------------------------------------------------------+
761 ; addr_width     ; 11    ; Signed Integer                                                    ;
762 ; data_width     ; 32    ; Signed Integer                                                    ;
763 +----------------+-------+-------------------------------------------------------------------+
764 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
765
766
767 +-----------------------------------------------------------------------------------------------+
768 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart ;
769 +----------------+-------+----------------------------------------------------------------------+
770 ; Parameter Name ; Value ; Type                                                                 ;
771 +----------------+-------+----------------------------------------------------------------------+
772 ; reset_value    ; '0'   ; Enumerated                                                           ;
773 +----------------+-------+----------------------------------------------------------------------+
774 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
775
776
777 +----------------------------------------------------------------------------------------------------------------------+
778 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst ;
779 +----------------+-------+---------------------------------------------------------------------------------------------+
780 ; Parameter Name ; Value ; Type                                                                                        ;
781 +----------------+-------+---------------------------------------------------------------------------------------------+
782 ; reset_value    ; '0'   ; Enumerated                                                                                  ;
783 +----------------+-------+---------------------------------------------------------------------------------------------+
784 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
785
786
787 +--------------------------------------------------------------------------------------------------------------------+
788 ; Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ;
789 +------------------------------------+--------------------------------------+----------------------------------------+
790 ; Parameter Name                     ; Value                                ; Type                                   ;
791 +------------------------------------+--------------------------------------+----------------------------------------+
792 ; BYTE_SIZE_BLOCK                    ; 8                                    ; Untyped                                ;
793 ; AUTO_CARRY_CHAINS                  ; ON                                   ; AUTO_CARRY                             ;
794 ; IGNORE_CARRY_BUFFERS               ; OFF                                  ; IGNORE_CARRY                           ;
795 ; AUTO_CASCADE_CHAINS                ; ON                                   ; AUTO_CASCADE                           ;
796 ; IGNORE_CASCADE_BUFFERS             ; OFF                                  ; IGNORE_CASCADE                         ;
797 ; WIDTH_BYTEENA                      ; 1                                    ; Untyped                                ;
798 ; OPERATION_MODE                     ; DUAL_PORT                            ; Untyped                                ;
799 ; WIDTH_A                            ; 32                                   ; Untyped                                ;
800 ; WIDTHAD_A                          ; 4                                    ; Untyped                                ;
801 ; NUMWORDS_A                         ; 16                                   ; Untyped                                ;
802 ; OUTDATA_REG_A                      ; UNREGISTERED                         ; Untyped                                ;
803 ; ADDRESS_ACLR_A                     ; NONE                                 ; Untyped                                ;
804 ; OUTDATA_ACLR_A                     ; NONE                                 ; Untyped                                ;
805 ; WRCONTROL_ACLR_A                   ; NONE                                 ; Untyped                                ;
806 ; INDATA_ACLR_A                      ; NONE                                 ; Untyped                                ;
807 ; BYTEENA_ACLR_A                     ; NONE                                 ; Untyped                                ;
808 ; WIDTH_B                            ; 32                                   ; Untyped                                ;
809 ; WIDTHAD_B                          ; 4                                    ; Untyped                                ;
810 ; NUMWORDS_B                         ; 16                                   ; Untyped                                ;
811 ; INDATA_REG_B                       ; CLOCK1                               ; Untyped                                ;
812 ; WRCONTROL_WRADDRESS_REG_B          ; CLOCK1                               ; Untyped                                ;
813 ; RDCONTROL_REG_B                    ; CLOCK1                               ; Untyped                                ;
814 ; ADDRESS_REG_B                      ; CLOCK0                               ; Untyped                                ;
815 ; OUTDATA_REG_B                      ; UNREGISTERED                         ; Untyped                                ;
816 ; BYTEENA_REG_B                      ; CLOCK1                               ; Untyped                                ;
817 ; INDATA_ACLR_B                      ; NONE                                 ; Untyped                                ;
818 ; WRCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
819 ; ADDRESS_ACLR_B                     ; NONE                                 ; Untyped                                ;
820 ; OUTDATA_ACLR_B                     ; NONE                                 ; Untyped                                ;
821 ; RDCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
822 ; BYTEENA_ACLR_B                     ; NONE                                 ; Untyped                                ;
823 ; WIDTH_BYTEENA_A                    ; 1                                    ; Untyped                                ;
824 ; WIDTH_BYTEENA_B                    ; 1                                    ; Untyped                                ;
825 ; RAM_BLOCK_TYPE                     ; AUTO                                 ; Untyped                                ;
826 ; BYTE_SIZE                          ; 8                                    ; Untyped                                ;
827 ; READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                             ; Untyped                                ;
828 ; READ_DURING_WRITE_MODE_PORT_A      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
829 ; READ_DURING_WRITE_MODE_PORT_B      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
830 ; INIT_FILE                          ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; Untyped                                ;
831 ; INIT_FILE_LAYOUT                   ; PORT_A                               ; Untyped                                ;
832 ; MAXIMUM_DEPTH                      ; 0                                    ; Untyped                                ;
833 ; CLOCK_ENABLE_INPUT_A               ; NORMAL                               ; Untyped                                ;
834 ; CLOCK_ENABLE_INPUT_B               ; NORMAL                               ; Untyped                                ;
835 ; CLOCK_ENABLE_OUTPUT_A              ; NORMAL                               ; Untyped                                ;
836 ; CLOCK_ENABLE_OUTPUT_B              ; NORMAL                               ; Untyped                                ;
837 ; CLOCK_ENABLE_CORE_A                ; USE_INPUT_CLKEN                      ; Untyped                                ;
838 ; CLOCK_ENABLE_CORE_B                ; USE_INPUT_CLKEN                      ; Untyped                                ;
839 ; ENABLE_ECC                         ; FALSE                                ; Untyped                                ;
840 ; DEVICE_FAMILY                      ; Cyclone                              ; Untyped                                ;
841 ; CBXI_PARAMETER                     ; altsyncram_emk1                      ; Untyped                                ;
842 +------------------------------------+--------------------------------------+----------------------------------------+
843 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
844
845
846 +--------------------------------------------------------------------------------------------------------------------+
847 ; Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ;
848 +------------------------------------+--------------------------------------+----------------------------------------+
849 ; Parameter Name                     ; Value                                ; Type                                   ;
850 +------------------------------------+--------------------------------------+----------------------------------------+
851 ; BYTE_SIZE_BLOCK                    ; 8                                    ; Untyped                                ;
852 ; AUTO_CARRY_CHAINS                  ; ON                                   ; AUTO_CARRY                             ;
853 ; IGNORE_CARRY_BUFFERS               ; OFF                                  ; IGNORE_CARRY                           ;
854 ; AUTO_CASCADE_CHAINS                ; ON                                   ; AUTO_CASCADE                           ;
855 ; IGNORE_CASCADE_BUFFERS             ; OFF                                  ; IGNORE_CASCADE                         ;
856 ; WIDTH_BYTEENA                      ; 1                                    ; Untyped                                ;
857 ; OPERATION_MODE                     ; DUAL_PORT                            ; Untyped                                ;
858 ; WIDTH_A                            ; 32                                   ; Untyped                                ;
859 ; WIDTHAD_A                          ; 4                                    ; Untyped                                ;
860 ; NUMWORDS_A                         ; 16                                   ; Untyped                                ;
861 ; OUTDATA_REG_A                      ; UNREGISTERED                         ; Untyped                                ;
862 ; ADDRESS_ACLR_A                     ; NONE                                 ; Untyped                                ;
863 ; OUTDATA_ACLR_A                     ; NONE                                 ; Untyped                                ;
864 ; WRCONTROL_ACLR_A                   ; NONE                                 ; Untyped                                ;
865 ; INDATA_ACLR_A                      ; NONE                                 ; Untyped                                ;
866 ; BYTEENA_ACLR_A                     ; NONE                                 ; Untyped                                ;
867 ; WIDTH_B                            ; 32                                   ; Untyped                                ;
868 ; WIDTHAD_B                          ; 4                                    ; Untyped                                ;
869 ; NUMWORDS_B                         ; 16                                   ; Untyped                                ;
870 ; INDATA_REG_B                       ; CLOCK1                               ; Untyped                                ;
871 ; WRCONTROL_WRADDRESS_REG_B          ; CLOCK1                               ; Untyped                                ;
872 ; RDCONTROL_REG_B                    ; CLOCK1                               ; Untyped                                ;
873 ; ADDRESS_REG_B                      ; CLOCK0                               ; Untyped                                ;
874 ; OUTDATA_REG_B                      ; UNREGISTERED                         ; Untyped                                ;
875 ; BYTEENA_REG_B                      ; CLOCK1                               ; Untyped                                ;
876 ; INDATA_ACLR_B                      ; NONE                                 ; Untyped                                ;
877 ; WRCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
878 ; ADDRESS_ACLR_B                     ; NONE                                 ; Untyped                                ;
879 ; OUTDATA_ACLR_B                     ; NONE                                 ; Untyped                                ;
880 ; RDCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
881 ; BYTEENA_ACLR_B                     ; NONE                                 ; Untyped                                ;
882 ; WIDTH_BYTEENA_A                    ; 1                                    ; Untyped                                ;
883 ; WIDTH_BYTEENA_B                    ; 1                                    ; Untyped                                ;
884 ; RAM_BLOCK_TYPE                     ; AUTO                                 ; Untyped                                ;
885 ; BYTE_SIZE                          ; 8                                    ; Untyped                                ;
886 ; READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                             ; Untyped                                ;
887 ; READ_DURING_WRITE_MODE_PORT_A      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
888 ; READ_DURING_WRITE_MODE_PORT_B      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
889 ; INIT_FILE                          ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; Untyped                                ;
890 ; INIT_FILE_LAYOUT                   ; PORT_A                               ; Untyped                                ;
891 ; MAXIMUM_DEPTH                      ; 0                                    ; Untyped                                ;
892 ; CLOCK_ENABLE_INPUT_A               ; NORMAL                               ; Untyped                                ;
893 ; CLOCK_ENABLE_INPUT_B               ; NORMAL                               ; Untyped                                ;
894 ; CLOCK_ENABLE_OUTPUT_A              ; NORMAL                               ; Untyped                                ;
895 ; CLOCK_ENABLE_OUTPUT_B              ; NORMAL                               ; Untyped                                ;
896 ; CLOCK_ENABLE_CORE_A                ; USE_INPUT_CLKEN                      ; Untyped                                ;
897 ; CLOCK_ENABLE_CORE_B                ; USE_INPUT_CLKEN                      ; Untyped                                ;
898 ; ENABLE_ECC                         ; FALSE                                ; Untyped                                ;
899 ; DEVICE_FAMILY                      ; Cyclone                              ; Untyped                                ;
900 ; CBXI_PARAMETER                     ; altsyncram_emk1                      ; Untyped                                ;
901 +------------------------------------+--------------------------------------+----------------------------------------+
902 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
903
904
905 +---------------------------------------------------------------------------------------------------------------+
906 ; altsyncram Parameter Settings by Entity Instance                                                              ;
907 +-------------------------------------------+-------------------------------------------------------------------+
908 ; Name                                      ; Value                                                             ;
909 +-------------------------------------------+-------------------------------------------------------------------+
910 ; Number of entity instances                ; 2                                                                 ;
911 ; Entity Instance                           ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ;
912 ;     -- OPERATION_MODE                     ; DUAL_PORT                                                         ;
913 ;     -- WIDTH_A                            ; 32                                                                ;
914 ;     -- NUMWORDS_A                         ; 16                                                                ;
915 ;     -- OUTDATA_REG_A                      ; UNREGISTERED                                                      ;
916 ;     -- WIDTH_B                            ; 32                                                                ;
917 ;     -- NUMWORDS_B                         ; 16                                                                ;
918 ;     -- ADDRESS_REG_B                      ; CLOCK0                                                            ;
919 ;     -- OUTDATA_REG_B                      ; UNREGISTERED                                                      ;
920 ;     -- RAM_BLOCK_TYPE                     ; AUTO                                                              ;
921 ;     -- READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                                                          ;
922 ; Entity Instance                           ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ;
923 ;     -- OPERATION_MODE                     ; DUAL_PORT                                                         ;
924 ;     -- WIDTH_A                            ; 32                                                                ;
925 ;     -- NUMWORDS_A                         ; 16                                                                ;
926 ;     -- OUTDATA_REG_A                      ; UNREGISTERED                                                      ;
927 ;     -- WIDTH_B                            ; 32                                                                ;
928 ;     -- NUMWORDS_B                         ; 16                                                                ;
929 ;     -- ADDRESS_REG_B                      ; CLOCK0                                                            ;
930 ;     -- OUTDATA_REG_B                      ; UNREGISTERED                                                      ;
931 ;     -- RAM_BLOCK_TYPE                     ; AUTO                                                              ;
932 ;     -- READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                                                          ;
933 +-------------------------------------------+-------------------------------------------------------------------+
934
935
936 +--------------------------------------------------------------------------------------------------------------------+
937 ; Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart"                                       ;
938 +----------+--------+----------+-------------------------------------------------------------------------------------+
939 ; Port     ; Type   ; Severity ; Details                                                                             ;
940 +----------+--------+----------+-------------------------------------------------------------------------------------+
941 ; data_out ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
942 +----------+--------+----------+-------------------------------------------------------------------------------------+
943
944
945 +----------------------------------------------------------------------------------------------------------------------------------+
946 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst"                                                ;
947 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
948 ; Port                   ; Type   ; Severity ; Details                                                                             ;
949 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
950 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
951 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
952 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
953 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
954 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
955 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
956 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
957 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
958
959
960 +----------------------------------------------------------------------------------------------------------------------------------+
961 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst"                                                  ;
962 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
963 ; Port                   ; Type   ; Severity ; Details                                                                             ;
964 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
965 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
966 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
967 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
968 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
969 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
970 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
971 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
972 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
973
974
975 +----------------------------------------------------------------------------------------------------------------------------------+
976 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst"                                                   ;
977 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
978 ; Port                   ; Type   ; Severity ; Details                                                                             ;
979 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
980 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
981 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
982 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
983 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
984 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
985 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
986 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
987 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
988
989
990 +----------------------------------------------------------------------------------------------------------------------------------+
991 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst"                                                  ;
992 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
993 ; Port                   ; Type   ; Severity ; Details                                                                             ;
994 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
995 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
996 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
997 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
998 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
999 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1000 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1001 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1002 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1003
1004
1005 +----------------------------------------------------------------------------------------------------------------------------------+
1006 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst"                                                  ;
1007 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1008 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1009 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1010 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1011 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1012 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1013 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1014 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1015 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1016 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1017 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1018
1019
1020 +------------------------------------------------------------------------------------------------------------------------------+
1021 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst"                                                               ;
1022 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1023 ; Port               ; Type   ; Severity ; Details                                                                             ;
1024 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1025 ; alu_state.reg_op   ; Input  ; Info     ; Stuck at GND                                                                        ;
1026 ; alu_state.mem_op   ; Input  ; Info     ; Stuck at GND                                                                        ;
1027 ; alu_state.mem_en   ; Input  ; Info     ; Stuck at GND                                                                        ;
1028 ; alu_state.hw_op    ; Input  ; Info     ; Stuck at GND                                                                        ;
1029 ; alu_state.byte_op  ; Input  ; Info     ; Stuck at GND                                                                        ;
1030 ; alu_state.sign_xt  ; Input  ; Info     ; Stuck at GND                                                                        ;
1031 ; alu_result.sign_xt ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1032 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1033
1034
1035 +------------------------------------------------------------------------------------------------------------------------+
1036 ; Port Connectivity Checks: "execute_stage:exec_st"                                                                      ;
1037 +--------------+--------+----------+-------------------------------------------------------------------------------------+
1038 ; Port         ; Type   ; Severity ; Details                                                                             ;
1039 +--------------+--------+----------+-------------------------------------------------------------------------------------+
1040 ; ext_data_out ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1041 +--------------+--------+----------+-------------------------------------------------------------------------------------+
1042
1043
1044 +------------------------------------------------------------------------------------------------------------------------------+
1045 ; Port Connectivity Checks: "decode_stage:decode_st|decoder:decoder_inst"                                                      ;
1046 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1047 ; Port               ; Type   ; Severity ; Details                                                                             ;
1048 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1049 ; instr_spl.jmptype  ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1050 ; instr_spl.high_low ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1051 ; instr_spl.fill     ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1052 ; instr_spl.signext  ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1053 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1054
1055
1056 +-------------------------------+
1057 ; Analysis & Synthesis Messages ;
1058 +-------------------------------+
1059 Info: *******************************************************************
1060 Info: Running Quartus II Analysis & Synthesis
1061     Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
1062     Info: Processing started: Fri Dec 17 10:09:47 2010
1063 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off dt -c dt
1064 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/writeback_stage_b.vhd
1065     Info: Found design unit 1: writeback_stage-behav
1066 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/writeback_stage.vhd
1067     Info: Found entity 1: writeback_stage
1068 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/rw_r_ram_b.vhd
1069     Info: Found design unit 1: rw_r_ram-behaviour
1070 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/rw_r_ram.vhd
1071     Info: Found entity 1: rw_r_ram
1072 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/rs232_tx_arc.vhd
1073     Info: Found design unit 1: rs232_tx-beh
1074 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/rs232_tx.vhd
1075     Info: Found entity 1: rs232_tx
1076 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/r_w_ram_b.vhd
1077     Info: Found design unit 1: r_w_ram-behaviour
1078 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/r_w_ram.vhd
1079     Info: Found entity 1: r_w_ram
1080 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/r2_w_ram_b.vhd
1081     Info: Found design unit 1: r2_w_ram-behaviour
1082 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/r2_w_ram.vhd
1083     Info: Found entity 1: r2_w_ram
1084 Info: Found 3 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/pipeline_tb.vhd
1085     Info: Found design unit 1: pipeline_tb-behavior
1086     Info: Found design unit 2: pipeline_conf_beh
1087     Info: Found entity 1: pipeline_tb
1088 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/mem_pkg.vhd
1089     Info: Found design unit 1: mem_pkg
1090 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/fetch_stage_b.vhd
1091     Info: Found design unit 1: fetch_stage-behav
1092 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/fetch_stage.vhd
1093     Info: Found entity 1: fetch_stage
1094 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_uart_pkg.vhd
1095     Info: Found design unit 1: extension_uart_pkg
1096 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_uart_b.vhd
1097     Info: Found design unit 1: extension_uart-behav
1098 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/extension_uart.vhd
1099     Info: Found entity 1: extension_uart
1100 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_pkg.vhd
1101     Info: Found design unit 1: extension_pkg
1102 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/extension_b.vhd
1103     Info: Found design unit 1: extension_gpm-behav
1104 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/extension.vhd
1105     Info: Found entity 1: extension_gpm
1106 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/execute_stage_b.vhd
1107     Info: Found design unit 1: execute_stage-behav
1108 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/execute_stage.vhd
1109     Info: Found entity 1: execute_stage
1110 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/exec_op.vhd
1111     Info: Found entity 1: exec_op
1112 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/decoder_b.vhd
1113     Info: Found design unit 1: decoder-behav_d
1114 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/decoder.vhd
1115     Info: Found entity 1: decoder
1116 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/decode_stage_b.vhd
1117     Info: Found design unit 1: decode_stage-behav
1118 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/decode_stage.vhd
1119     Info: Found entity 1: decode_stage
1120 Info: Found 2 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/core_top.vhd
1121     Info: Found design unit 1: core_top-behav
1122     Info: Found entity 1: core_top
1123 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/core_pkg.vhd
1124     Info: Found design unit 1: core_pkg
1125 Info: Found 2 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/common_pkg.vhd
1126     Info: Found design unit 1: common_pkg
1127     Info: Found design unit 2: common_pkg-body
1128 Info: Found 2 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/alu_pkg.vhd
1129     Info: Found design unit 1: alu_pkg
1130     Info: Found design unit 2: alu_pkg-body
1131 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/alu_b.vhd
1132     Info: Found design unit 1: alu-behaviour
1133 Info: Found 1 design units, including 1 entities, in source file /homes/c0726283/calu/cpu/src/alu.vhd
1134     Info: Found entity 1: alu
1135 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/xor_op_b.vhd
1136     Info: Found design unit 1: exec_op-xor_op
1137 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/shift_op_b.vhd
1138     Info: Found design unit 1: exec_op-shift_op
1139 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/or_op_b.vhd
1140     Info: Found design unit 1: exec_op-or_op
1141 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/and_op_b.vhd
1142     Info: Found design unit 1: exec_op-and_op
1143 Info: Found 1 design units, including 0 entities, in source file /homes/c0726283/calu/cpu/src/exec_op/add_op_b.vhd
1144     Info: Found design unit 1: exec_op-add_op
1145 Info: Elaborating entity "core_top" for the top level hierarchy
1146 Warning (10036): Verilog HDL or VHDL warning at core_top.vhd(25): object "jump_result" assigned a value but never read
1147 Warning (10541): VHDL Signal Declaration warning at core_top.vhd(53): used implicit default value for signal "gpm_in_pin" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1148 Warning (10036): Verilog HDL or VHDL warning at core_top.vhd(54): object "gpm_out_pin" assigned a value but never read
1149 Info: Elaborating entity "fetch_stage" for hierarchy "fetch_stage:fetch_st"
1150 Warning (10541): VHDL Signal Declaration warning at fetch_stage_b.vhd(11): used implicit default value for signal "instr_w_addr" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1151 Warning (10541): VHDL Signal Declaration warning at fetch_stage_b.vhd(14): used implicit default value for signal "instr_we" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1152 Warning (10541): VHDL Signal Declaration warning at fetch_stage_b.vhd(15): used implicit default value for signal "instr_wr_data" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1153 Info: Elaborating entity "r_w_ram" for hierarchy "fetch_stage:fetch_st|r_w_ram:instruction_ram"
1154 Warning (10036): Verilog HDL or VHDL warning at r_w_ram_b.vhd(15): object "ram" assigned a value but never read
1155 Info: Elaborating entity "decode_stage" for hierarchy "decode_stage:decode_st"
1156 Info: Elaborating entity "r2_w_ram" for hierarchy "decode_stage:decode_st|r2_w_ram:register_ram"
1157 Info: Elaborating entity "decoder" for hierarchy "decode_stage:decode_st|decoder:decoder_inst"
1158 Info: Elaborating entity "execute_stage" for hierarchy "execute_stage:exec_st"
1159 Warning (10541): VHDL Signal Declaration warning at execute_stage_b.vhd(19): used implicit default value for signal "ext_gpmp" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1160 Info: Elaborating entity "alu" for hierarchy "execute_stage:exec_st|alu:alu_inst"
1161 Info: Elaborating entity "exec_op" using architecture "A:add_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst"
1162 Info: Elaborating entity "exec_op" using architecture "A:and_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst"
1163 Info: Elaborating entity "exec_op" using architecture "A:or_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst"
1164 Info: Elaborating entity "exec_op" using architecture "A:xor_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst"
1165 Info: Elaborating entity "exec_op" using architecture "A:shift_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst"
1166 Info: Elaborating entity "extension_gpm" for hierarchy "execute_stage:exec_st|extension_gpm:gpmp_inst"
1167 Info: Elaborating entity "writeback_stage" for hierarchy "writeback_stage:writeback_st"
1168 Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(14): object "data_ram_read_ext" assigned a value but never read
1169 Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(18): object "ext_timer" assigned a value but never read
1170 Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(18): object "ext_gpmp" assigned a value but never read
1171 Warning (10812): VHDL warning at writeback_stage_b.vhd(152): sensitivity list already contains wb_reg_nxt
1172 Info: Elaborating entity "extension_uart" for hierarchy "writeback_stage:writeback_st|extension_uart:uart"
1173 Info: Elaborating entity "rs232_tx" for hierarchy "writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst"
1174 Info: Inferred 2 megafunctions from design logic
1175     Info: Inferred altsyncram megafunction from the following design logic: "decode_stage:decode_st|r2_w_ram:register_ram|ram~37" 
1176         Info: Parameter OPERATION_MODE set to DUAL_PORT
1177         Info: Parameter WIDTH_A set to 32
1178         Info: Parameter WIDTHAD_A set to 4
1179         Info: Parameter NUMWORDS_A set to 16
1180         Info: Parameter WIDTH_B set to 32
1181         Info: Parameter WIDTHAD_B set to 4
1182         Info: Parameter NUMWORDS_B set to 16
1183         Info: Parameter ADDRESS_ACLR_A set to NONE
1184         Info: Parameter OUTDATA_REG_B set to UNREGISTERED
1185         Info: Parameter ADDRESS_ACLR_B set to NONE
1186         Info: Parameter OUTDATA_ACLR_B set to NONE
1187         Info: Parameter ADDRESS_REG_B set to CLOCK0
1188         Info: Parameter INDATA_ACLR_A set to NONE
1189         Info: Parameter WRCONTROL_ACLR_A set to NONE
1190         Info: Parameter INIT_FILE set to db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif
1191         Info: Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
1192     Info: Inferred altsyncram megafunction from the following design logic: "decode_stage:decode_st|r2_w_ram:register_ram|ram~38" 
1193         Info: Parameter OPERATION_MODE set to DUAL_PORT
1194         Info: Parameter WIDTH_A set to 32
1195         Info: Parameter WIDTHAD_A set to 4
1196         Info: Parameter NUMWORDS_A set to 16
1197         Info: Parameter WIDTH_B set to 32
1198         Info: Parameter WIDTHAD_B set to 4
1199         Info: Parameter NUMWORDS_B set to 16
1200         Info: Parameter ADDRESS_ACLR_A set to NONE
1201         Info: Parameter OUTDATA_REG_B set to UNREGISTERED
1202         Info: Parameter ADDRESS_ACLR_B set to NONE
1203         Info: Parameter OUTDATA_ACLR_B set to NONE
1204         Info: Parameter ADDRESS_REG_B set to CLOCK0
1205         Info: Parameter INDATA_ACLR_A set to NONE
1206         Info: Parameter WRCONTROL_ACLR_A set to NONE
1207         Info: Parameter INIT_FILE set to db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif
1208         Info: Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
1209 Info: Elaborated megafunction instantiation "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0"
1210 Info: Instantiated megafunction "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0" with the following parameter:
1211     Info: Parameter "OPERATION_MODE" = "DUAL_PORT"
1212     Info: Parameter "WIDTH_A" = "32"
1213     Info: Parameter "WIDTHAD_A" = "4"
1214     Info: Parameter "NUMWORDS_A" = "16"
1215     Info: Parameter "WIDTH_B" = "32"
1216     Info: Parameter "WIDTHAD_B" = "4"
1217     Info: Parameter "NUMWORDS_B" = "16"
1218     Info: Parameter "ADDRESS_ACLR_A" = "NONE"
1219     Info: Parameter "OUTDATA_REG_B" = "UNREGISTERED"
1220     Info: Parameter "ADDRESS_ACLR_B" = "NONE"
1221     Info: Parameter "OUTDATA_ACLR_B" = "NONE"
1222     Info: Parameter "ADDRESS_REG_B" = "CLOCK0"
1223     Info: Parameter "INDATA_ACLR_A" = "NONE"
1224     Info: Parameter "WRCONTROL_ACLR_A" = "NONE"
1225     Info: Parameter "INIT_FILE" = "db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif"
1226     Info: Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA"
1227 Info: Found 1 design units, including 1 entities, in source file db/altsyncram_emk1.tdf
1228     Info: Found entity 1: altsyncram_emk1
1229 Info: Registers with preset signals will power-up high
1230 Info: 117 registers lost all their fanouts during netlist optimizations. The first 117 are displayed below.
1231     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero" lost all its fanouts during netlist optimizations.
1232     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo" lost all its fanouts during netlist optimizations.
1233     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign" lost all its fanouts during netlist optimizations.
1234     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29]" lost all its fanouts during netlist optimizations.
1235     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28]" lost all its fanouts during netlist optimizations.
1236     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27]" lost all its fanouts during netlist optimizations.
1237     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][26]" lost all its fanouts during netlist optimizations.
1238     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][25]" lost all its fanouts during netlist optimizations.
1239     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][24]" lost all its fanouts during netlist optimizations.
1240     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][23]" lost all its fanouts during netlist optimizations.
1241     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][22]" lost all its fanouts during netlist optimizations.
1242     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][21]" lost all its fanouts during netlist optimizations.
1243     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][20]" lost all its fanouts during netlist optimizations.
1244     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][19]" lost all its fanouts during netlist optimizations.
1245     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][18]" lost all its fanouts during netlist optimizations.
1246     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][17]" lost all its fanouts during netlist optimizations.
1247     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][16]" lost all its fanouts during netlist optimizations.
1248     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][15]" lost all its fanouts during netlist optimizations.
1249     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][14]" lost all its fanouts during netlist optimizations.
1250     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][13]" lost all its fanouts during netlist optimizations.
1251     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][12]" lost all its fanouts during netlist optimizations.
1252     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][11]" lost all its fanouts during netlist optimizations.
1253     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][10]" lost all its fanouts during netlist optimizations.
1254     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][9]" lost all its fanouts during netlist optimizations.
1255     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][8]" lost all its fanouts during netlist optimizations.
1256     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][7]" lost all its fanouts during netlist optimizations.
1257     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][6]" lost all its fanouts during netlist optimizations.
1258     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][5]" lost all its fanouts during netlist optimizations.
1259     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][4]" lost all its fanouts during netlist optimizations.
1260     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][3]" lost all its fanouts during netlist optimizations.
1261     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][2]" lost all its fanouts during netlist optimizations.
1262     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][1]" lost all its fanouts during netlist optimizations.
1263     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][0]" lost all its fanouts during netlist optimizations.
1264     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][29]" lost all its fanouts during netlist optimizations.
1265     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][28]" lost all its fanouts during netlist optimizations.
1266     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][27]" lost all its fanouts during netlist optimizations.
1267     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][26]" lost all its fanouts during netlist optimizations.
1268     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][25]" lost all its fanouts during netlist optimizations.
1269     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][24]" lost all its fanouts during netlist optimizations.
1270     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][23]" lost all its fanouts during netlist optimizations.
1271     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][22]" lost all its fanouts during netlist optimizations.
1272     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][21]" lost all its fanouts during netlist optimizations.
1273     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][20]" lost all its fanouts during netlist optimizations.
1274     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][19]" lost all its fanouts during netlist optimizations.
1275     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][18]" lost all its fanouts during netlist optimizations.
1276     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][17]" lost all its fanouts during netlist optimizations.
1277     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][16]" lost all its fanouts during netlist optimizations.
1278     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][15]" lost all its fanouts during netlist optimizations.
1279     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][14]" lost all its fanouts during netlist optimizations.
1280     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][13]" lost all its fanouts during netlist optimizations.
1281     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][12]" lost all its fanouts during netlist optimizations.
1282     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][11]" lost all its fanouts during netlist optimizations.
1283     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][10]" lost all its fanouts during netlist optimizations.
1284     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][9]" lost all its fanouts during netlist optimizations.
1285     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][8]" lost all its fanouts during netlist optimizations.
1286     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][7]" lost all its fanouts during netlist optimizations.
1287     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][6]" lost all its fanouts during netlist optimizations.
1288     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][5]" lost all its fanouts during netlist optimizations.
1289     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][4]" lost all its fanouts during netlist optimizations.
1290     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][3]" lost all its fanouts during netlist optimizations.
1291     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][2]" lost all its fanouts during netlist optimizations.
1292     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][1]" lost all its fanouts during netlist optimizations.
1293     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][0]" lost all its fanouts during netlist optimizations.
1294     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][29]" lost all its fanouts during netlist optimizations.
1295     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][28]" lost all its fanouts during netlist optimizations.
1296     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][27]" lost all its fanouts during netlist optimizations.
1297     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][26]" lost all its fanouts during netlist optimizations.
1298     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][25]" lost all its fanouts during netlist optimizations.
1299     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][24]" lost all its fanouts during netlist optimizations.
1300     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][23]" lost all its fanouts during netlist optimizations.
1301     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][22]" lost all its fanouts during netlist optimizations.
1302     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][21]" lost all its fanouts during netlist optimizations.
1303     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][20]" lost all its fanouts during netlist optimizations.
1304     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][19]" lost all its fanouts during netlist optimizations.
1305     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][18]" lost all its fanouts during netlist optimizations.
1306     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][17]" lost all its fanouts during netlist optimizations.
1307     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][16]" lost all its fanouts during netlist optimizations.
1308     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][15]" lost all its fanouts during netlist optimizations.
1309     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][14]" lost all its fanouts during netlist optimizations.
1310     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][13]" lost all its fanouts during netlist optimizations.
1311     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][12]" lost all its fanouts during netlist optimizations.
1312     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][11]" lost all its fanouts during netlist optimizations.
1313     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][10]" lost all its fanouts during netlist optimizations.
1314     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][9]" lost all its fanouts during netlist optimizations.
1315     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][8]" lost all its fanouts during netlist optimizations.
1316     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][7]" lost all its fanouts during netlist optimizations.
1317     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][6]" lost all its fanouts during netlist optimizations.
1318     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][5]" lost all its fanouts during netlist optimizations.
1319     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][4]" lost all its fanouts during netlist optimizations.
1320     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][3]" lost all its fanouts during netlist optimizations.
1321     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][2]" lost all its fanouts during netlist optimizations.
1322     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1]" lost all its fanouts during netlist optimizations.
1323     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0]" lost all its fanouts during netlist optimizations.
1324     Info: Register "decode_stage:decode_st|dec_op_inst.daddr[2]" lost all its fanouts during netlist optimizations.
1325     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.AND_OP" lost all its fanouts during netlist optimizations.
1326     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.XOR_OP" lost all its fanouts during netlist optimizations.
1327     Info: Register "fetch_stage:fetch_st|instr_r_addr[11]" lost all its fanouts during netlist optimizations.
1328     Info: Register "fetch_stage:fetch_st|instr_r_addr[12]" lost all its fanouts during netlist optimizations.
1329     Info: Register "fetch_stage:fetch_st|instr_r_addr[13]" lost all its fanouts during netlist optimizations.
1330     Info: Register "fetch_stage:fetch_st|instr_r_addr[14]" lost all its fanouts during netlist optimizations.
1331     Info: Register "fetch_stage:fetch_st|instr_r_addr[15]" lost all its fanouts during netlist optimizations.
1332     Info: Register "fetch_stage:fetch_st|instr_r_addr[16]" lost all its fanouts during netlist optimizations.
1333     Info: Register "fetch_stage:fetch_st|instr_r_addr[17]" lost all its fanouts during netlist optimizations.
1334     Info: Register "fetch_stage:fetch_st|instr_r_addr[18]" lost all its fanouts during netlist optimizations.
1335     Info: Register "fetch_stage:fetch_st|instr_r_addr[19]" lost all its fanouts during netlist optimizations.
1336     Info: Register "fetch_stage:fetch_st|instr_r_addr[20]" lost all its fanouts during netlist optimizations.
1337     Info: Register "fetch_stage:fetch_st|instr_r_addr[21]" lost all its fanouts during netlist optimizations.
1338     Info: Register "fetch_stage:fetch_st|instr_r_addr[22]" lost all its fanouts during netlist optimizations.
1339     Info: Register "fetch_stage:fetch_st|instr_r_addr[23]" lost all its fanouts during netlist optimizations.
1340     Info: Register "fetch_stage:fetch_st|instr_r_addr[24]" lost all its fanouts during netlist optimizations.
1341     Info: Register "fetch_stage:fetch_st|instr_r_addr[25]" lost all its fanouts during netlist optimizations.
1342     Info: Register "fetch_stage:fetch_st|instr_r_addr[26]" lost all its fanouts during netlist optimizations.
1343     Info: Register "fetch_stage:fetch_st|instr_r_addr[27]" lost all its fanouts during netlist optimizations.
1344     Info: Register "fetch_stage:fetch_st|instr_r_addr[28]" lost all its fanouts during netlist optimizations.
1345     Info: Register "fetch_stage:fetch_st|instr_r_addr[29]" lost all its fanouts during netlist optimizations.
1346     Info: Register "fetch_stage:fetch_st|instr_r_addr[30]" lost all its fanouts during netlist optimizations.
1347     Info: Register "fetch_stage:fetch_st|instr_r_addr[31]" lost all its fanouts during netlist optimizations.
1348 Info: Removed 1 MSB VCC or GND address nodes from RAM block "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM"
1349 Info: Removed 1 MSB VCC or GND address nodes from RAM block "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM"
1350 Info: Generating hard_block partition "hard_block:auto_generated_inst"
1351 Info: Implemented 1209 device resources after synthesis - the final resource count might be different
1352     Info: Implemented 2 input pins
1353     Info: Implemented 1 output pins
1354     Info: Implemented 1142 logic cells
1355     Info: Implemented 64 RAM segments
1356 Info: Quartus II Analysis & Synthesis was successful. 0 errors, 12 warnings
1357     Info: Peak virtual memory: 267 megabytes
1358     Info: Processing ended: Fri Dec 17 10:10:12 2010
1359     Info: Elapsed time: 00:00:25
1360     Info: Total CPU time (on all processors): 00:00:21
1361
1362