2c2ebe9f03a7f4c3904725d049adaff85d7f2276
[calu.git] / dt / dt.map.rpt
1 Analysis & Synthesis report for dt
2 Thu Dec 16 16:54:44 2010
3 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
4
5
6 ---------------------
7 ; Table of Contents ;
8 ---------------------
9   1. Legal Notice
10   2. Analysis & Synthesis Summary
11   3. Analysis & Synthesis Settings
12   4. Parallel Compilation
13   5. Analysis & Synthesis Source Files Read
14   6. Analysis & Synthesis Resource Usage Summary
15   7. Analysis & Synthesis Resource Utilization by Entity
16   8. Analysis & Synthesis RAM Summary
17   9. State Machine - |core_top|decode_stage:decode_st|dec_op_inst.op_group
18  10. Registers Removed During Synthesis
19  11. Removed Registers Triggering Further Register Optimizations
20  12. General Register Statistics
21  13. Inverted Register Statistics
22  14. Registers Packed Into Inferred Megafunctions
23  15. Multiplexer Restructuring Statistics (Restructuring Performed)
24  16. Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated
25  17. Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated
26  18. Parameter Settings for User Entity Instance: fetch_stage:fetch_st
27  19. Parameter Settings for User Entity Instance: fetch_stage:fetch_st|r_w_ram:instruction_ram
28  20. Parameter Settings for User Entity Instance: decode_stage:decode_st
29  21. Parameter Settings for User Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram
30  22. Parameter Settings for User Entity Instance: execute_stage:exec_st
31  23. Parameter Settings for User Entity Instance: execute_stage:exec_st|extension_gpm:gpmp_inst
32  24. Parameter Settings for User Entity Instance: writeback_stage:writeback_st
33  25. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|r_w_ram:data_ram
34  26. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart
35  27. Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst
36  28. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0
37  29. Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1
38  30. altsyncram Parameter Settings by Entity Instance
39  31. Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart"
40  32. Port Connectivity Checks: "writeback_stage:writeback_st"
41  33. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst"
42  34. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst"
43  35. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst"
44  36. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst"
45  37. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst"
46  38. Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst"
47  39. Port Connectivity Checks: "execute_stage:exec_st"
48  40. Port Connectivity Checks: "decode_stage:decode_st|decoder:decoder_inst"
49  41. Port Connectivity Checks: "decode_stage:decode_st"
50  42. Port Connectivity Checks: "fetch_stage:fetch_st"
51  43. Analysis & Synthesis Messages
52
53
54
55 ----------------
56 ; Legal Notice ;
57 ----------------
58 Copyright (C) 1991-2010 Altera Corporation
59 Your use of Altera Corporation's design tools, logic functions 
60 and other software and tools, and its AMPP partner logic 
61 functions, and any output files from any of the foregoing 
62 (including device programming or simulation files), and any 
63 associated documentation or information are expressly subject 
64 to the terms and conditions of the Altera Program License 
65 Subscription Agreement, Altera MegaCore Function License 
66 Agreement, or other applicable license agreement, including, 
67 without limitation, that your use is for the sole purpose of 
68 programming logic devices manufactured by Altera and sold by 
69 Altera or its authorized distributors.  Please refer to the 
70 applicable agreement for further details.
71
72
73
74 +-----------------------------------------------------------------------------+
75 ; Analysis & Synthesis Summary                                                ;
76 +-----------------------------+-----------------------------------------------+
77 ; Analysis & Synthesis Status ; Successful - Thu Dec 16 16:54:44 2010         ;
78 ; Quartus II Version          ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ;
79 ; Revision Name               ; dt                                            ;
80 ; Top-level Entity Name       ; core_top                                      ;
81 ; Family                      ; Cyclone                                       ;
82 ; Total logic elements        ; 435                                           ;
83 ; Total pins                  ; 2                                             ;
84 ; Total virtual pins          ; 0                                             ;
85 ; Total memory bits           ; 512                                           ;
86 ; Total PLLs                  ; 0                                             ;
87 +-----------------------------+-----------------------------------------------+
88
89
90 +----------------------------------------------------------------------------------------------------------------------+
91 ; Analysis & Synthesis Settings                                                                                        ;
92 +----------------------------------------------------------------------------+--------------------+--------------------+
93 ; Option                                                                     ; Setting            ; Default Value      ;
94 +----------------------------------------------------------------------------+--------------------+--------------------+
95 ; Device                                                                     ; EP1C12Q240C8       ;                    ;
96 ; Top-level entity name                                                      ; core_top           ; dt                 ;
97 ; Family name                                                                ; Cyclone            ; Stratix II         ;
98 ; Use smart compilation                                                      ; Off                ; Off                ;
99 ; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On                 ; On                 ;
100 ; Enable compact report table                                                ; Off                ; Off                ;
101 ; Restructure Multiplexers                                                   ; Auto               ; Auto               ;
102 ; Create Debugging Nodes for IP Cores                                        ; Off                ; Off                ;
103 ; Preserve fewer node names                                                  ; On                 ; On                 ;
104 ; Disable OpenCore Plus hardware evaluation                                  ; Off                ; Off                ;
105 ; Verilog Version                                                            ; Verilog_2001       ; Verilog_2001       ;
106 ; VHDL Version                                                               ; VHDL_1993          ; VHDL_1993          ;
107 ; State Machine Processing                                                   ; Auto               ; Auto               ;
108 ; Safe State Machine                                                         ; Off                ; Off                ;
109 ; Extract Verilog State Machines                                             ; On                 ; On                 ;
110 ; Extract VHDL State Machines                                                ; On                 ; On                 ;
111 ; Ignore Verilog initial constructs                                          ; Off                ; Off                ;
112 ; Iteration limit for constant Verilog loops                                 ; 5000               ; 5000               ;
113 ; Iteration limit for non-constant Verilog loops                             ; 250                ; 250                ;
114 ; Add Pass-Through Logic to Inferred RAMs                                    ; On                 ; On                 ;
115 ; Parallel Synthesis                                                         ; On                 ; On                 ;
116 ; NOT Gate Push-Back                                                         ; On                 ; On                 ;
117 ; Power-Up Don't Care                                                        ; On                 ; On                 ;
118 ; Remove Redundant Logic Cells                                               ; Off                ; Off                ;
119 ; Remove Duplicate Registers                                                 ; On                 ; On                 ;
120 ; Ignore CARRY Buffers                                                       ; Off                ; Off                ;
121 ; Ignore CASCADE Buffers                                                     ; Off                ; Off                ;
122 ; Ignore GLOBAL Buffers                                                      ; Off                ; Off                ;
123 ; Ignore ROW GLOBAL Buffers                                                  ; Off                ; Off                ;
124 ; Ignore LCELL Buffers                                                       ; Off                ; Off                ;
125 ; Ignore SOFT Buffers                                                        ; On                 ; On                 ;
126 ; Limit AHDL Integers to 32 Bits                                             ; Off                ; Off                ;
127 ; Optimization Technique                                                     ; Balanced           ; Balanced           ;
128 ; Carry Chain Length                                                         ; 70                 ; 70                 ;
129 ; Auto Carry Chains                                                          ; On                 ; On                 ;
130 ; Auto Open-Drain Pins                                                       ; On                 ; On                 ;
131 ; Perform WYSIWYG Primitive Resynthesis                                      ; Off                ; Off                ;
132 ; Auto ROM Replacement                                                       ; On                 ; On                 ;
133 ; Auto RAM Replacement                                                       ; On                 ; On                 ;
134 ; Auto Shift Register Replacement                                            ; Auto               ; Auto               ;
135 ; Auto Clock Enable Replacement                                              ; On                 ; On                 ;
136 ; Strict RAM Replacement                                                     ; Off                ; Off                ;
137 ; Allow Synchronous Control Signals                                          ; On                 ; On                 ;
138 ; Force Use of Synchronous Clear Signals                                     ; Off                ; Off                ;
139 ; Auto RAM Block Balancing                                                   ; On                 ; On                 ;
140 ; Auto RAM to Logic Cell Conversion                                          ; Off                ; Off                ;
141 ; Auto Resource Sharing                                                      ; Off                ; Off                ;
142 ; Allow Any RAM Size For Recognition                                         ; Off                ; Off                ;
143 ; Allow Any ROM Size For Recognition                                         ; Off                ; Off                ;
144 ; Allow Any Shift Register Size For Recognition                              ; Off                ; Off                ;
145 ; Use LogicLock Constraints during Resource Balancing                        ; On                 ; On                 ;
146 ; Ignore translate_off and synthesis_off directives                          ; Off                ; Off                ;
147 ; Report Parameter Settings                                                  ; On                 ; On                 ;
148 ; Report Source Assignments                                                  ; On                 ; On                 ;
149 ; Report Connectivity Checks                                                 ; On                 ; On                 ;
150 ; Ignore Maximum Fan-Out Assignments                                         ; Off                ; Off                ;
151 ; Synchronization Register Chain Length                                      ; 2                  ; 2                  ;
152 ; PowerPlay Power Optimization                                               ; Normal compilation ; Normal compilation ;
153 ; HDL message level                                                          ; Level2             ; Level2             ;
154 ; Suppress Register Optimization Related Messages                            ; Off                ; Off                ;
155 ; Number of Removed Registers Reported in Synthesis Report                   ; 5000               ; 5000               ;
156 ; Number of Inverted Registers Reported in Synthesis Report                  ; 100                ; 100                ;
157 ; Clock MUX Protection                                                       ; On                 ; On                 ;
158 ; Block Design Naming                                                        ; Auto               ; Auto               ;
159 ; Synthesis Effort                                                           ; Auto               ; Auto               ;
160 ; Shift Register Replacement - Allow Asynchronous Clear Signal               ; On                 ; On                 ;
161 ; Analysis & Synthesis Message Level                                         ; Medium             ; Medium             ;
162 ; Disable Register Merging Across Hierarchies                                ; Auto               ; Auto               ;
163 ; Resource Aware Inference For Block RAM                                     ; On                 ; On                 ;
164 ; Synthesis Seed                                                             ; 1                  ; 1                  ;
165 +----------------------------------------------------------------------------+--------------------+--------------------+
166
167
168 Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
169 +-------------------------------------+
170 ; Parallel Compilation                ;
171 +----------------------------+--------+
172 ; Processors                 ; Number ;
173 +----------------------------+--------+
174 ; Number detected on machine ; 2      ;
175 ; Maximum allowed            ; 1      ;
176 +----------------------------+--------+
177
178
179 +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
180 ; Analysis & Synthesis Source Files Read                                                                                                                                              ;
181 +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+
182 ; File Name with User-Entered Path     ; Used in Netlist ; File Type                                             ; File Name with Absolute Path                                       ;
183 +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+
184 ; ../cpu/src/writeback_stage_b.vhd     ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/writeback_stage_b.vhd                   ;
185 ; ../cpu/src/writeback_stage.vhd       ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/writeback_stage.vhd                     ;
186 ; ../cpu/src/rs232_tx_arc.vhd          ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/rs232_tx_arc.vhd                        ;
187 ; ../cpu/src/rs232_tx.vhd              ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/rs232_tx.vhd                            ;
188 ; ../cpu/src/r_w_ram_b.vhd             ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/r_w_ram_b.vhd                           ;
189 ; ../cpu/src/r_w_ram.vhd               ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/r_w_ram.vhd                             ;
190 ; ../cpu/src/r2_w_ram_b.vhd            ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/r2_w_ram_b.vhd                          ;
191 ; ../cpu/src/r2_w_ram.vhd              ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/r2_w_ram.vhd                            ;
192 ; ../cpu/src/mem_pkg.vhd               ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/mem_pkg.vhd                             ;
193 ; ../cpu/src/fetch_stage_b.vhd         ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/fetch_stage_b.vhd                       ;
194 ; ../cpu/src/fetch_stage.vhd           ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/fetch_stage.vhd                         ;
195 ; ../cpu/src/extension_uart_pkg.vhd    ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/extension_uart_pkg.vhd                  ;
196 ; ../cpu/src/extension_uart_b.vhd      ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/extension_uart_b.vhd                    ;
197 ; ../cpu/src/extension_uart.vhd        ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/extension_uart.vhd                      ;
198 ; ../cpu/src/extension_pkg.vhd         ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/extension_pkg.vhd                       ;
199 ; ../cpu/src/extension_b.vhd           ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/extension_b.vhd                         ;
200 ; ../cpu/src/extension.vhd             ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/extension.vhd                           ;
201 ; ../cpu/src/execute_stage_b.vhd       ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/execute_stage_b.vhd                     ;
202 ; ../cpu/src/execute_stage.vhd         ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/execute_stage.vhd                       ;
203 ; ../cpu/src/exec_op.vhd               ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/exec_op.vhd                             ;
204 ; ../cpu/src/decoder_b.vhd             ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/decoder_b.vhd                           ;
205 ; ../cpu/src/decoder.vhd               ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/decoder.vhd                             ;
206 ; ../cpu/src/decode_stage_b.vhd        ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/decode_stage_b.vhd                      ;
207 ; ../cpu/src/decode_stage.vhd          ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/decode_stage.vhd                        ;
208 ; ../cpu/src/core_top.vhd              ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/core_top.vhd                            ;
209 ; ../cpu/src/core_pkg.vhd              ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/core_pkg.vhd                            ;
210 ; ../cpu/src/common_pkg.vhd            ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/common_pkg.vhd                          ;
211 ; ../cpu/src/alu_pkg.vhd               ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/alu_pkg.vhd                             ;
212 ; ../cpu/src/alu_b.vhd                 ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/alu_b.vhd                               ;
213 ; ../cpu/src/alu.vhd                   ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/alu.vhd                                 ;
214 ; ../cpu/src/exec_op/xor_op_b.vhd      ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/exec_op/xor_op_b.vhd                    ;
215 ; ../cpu/src/exec_op/shift_op_b.vhd    ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/exec_op/shift_op_b.vhd                  ;
216 ; ../cpu/src/exec_op/or_op_b.vhd       ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/exec_op/or_op_b.vhd                     ;
217 ; ../cpu/src/exec_op/and_op_b.vhd      ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/exec_op/and_op_b.vhd                    ;
218 ; ../cpu/src/exec_op/add_op_b.vhd      ; yes             ; User VHDL File                                        ; /homes/burban/calu/cpu/src/exec_op/add_op_b.vhd                    ;
219 ; altsyncram.tdf                       ; yes             ; Megafunction                                          ; /opt/altera/10.0sp1/quartus/libraries/megafunctions/altsyncram.tdf ;
220 ; db/altsyncram_emk1.tdf               ; yes             ; Auto-Generated Megafunction                           ; /homes/burban/calu/dt/db/altsyncram_emk1.tdf                       ;
221 ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; yes             ; Auto-Generated Auto-Found Memory Initialization File  ; /homes/burban/calu/dt/db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif         ;
222 +--------------------------------------+-----------------+-------------------------------------------------------+--------------------------------------------------------------------+
223
224
225 +-------------------------------------------------------+
226 ; Analysis & Synthesis Resource Usage Summary           ;
227 +---------------------------------------------+---------+
228 ; Resource                                    ; Usage   ;
229 +---------------------------------------------+---------+
230 ; Total logic elements                        ; 435     ;
231 ;     -- Combinational with no register       ; 294     ;
232 ;     -- Register only                        ; 49      ;
233 ;     -- Combinational with a register        ; 92      ;
234 ;                                             ;         ;
235 ; Logic element usage by number of LUT inputs ;         ;
236 ;     -- 4 input functions                    ; 105     ;
237 ;     -- 3 input functions                    ; 195     ;
238 ;     -- 2 input functions                    ; 80      ;
239 ;     -- 1 input functions                    ; 4       ;
240 ;     -- 0 input functions                    ; 2       ;
241 ;                                             ;         ;
242 ; Logic elements by mode                      ;         ;
243 ;     -- normal mode                          ; 335     ;
244 ;     -- arithmetic mode                      ; 100     ;
245 ;     -- qfbk mode                            ; 0       ;
246 ;     -- register cascade mode                ; 0       ;
247 ;     -- synchronous clear/load mode          ; 3       ;
248 ;     -- asynchronous clear/load mode         ; 0       ;
249 ;                                             ;         ;
250 ; Total registers                             ; 141     ;
251 ; Total logic cells in carry chains           ; 104     ;
252 ; I/O pins                                    ; 2       ;
253 ; Total memory bits                           ; 512     ;
254 ; Maximum fan-out node                        ; sys_clk ;
255 ; Maximum fan-out                             ; 205     ;
256 ; Total fan-out                               ; 1762    ;
257 ; Average fan-out                             ; 3.52    ;
258 +---------------------------------------------+---------+
259
260
261 +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
262 ; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                                                            ;
263 +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+
264 ; Compilation Hierarchy Node                   ; Logic Cells ; LC Registers ; Memory Bits ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                                                                                        ; Library Name ;
265 +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+
266 ; |core_top                                    ; 435 (1)     ; 141          ; 512         ; 2    ; 0            ; 294 (1)      ; 49 (0)            ; 92 (0)           ; 104 (0)         ; 0 (0)      ; |core_top                                                                                                  ;              ;
267 ;    |decode_stage:decode_st|                  ; 43 (42)     ; 42           ; 512         ; 0    ; 0            ; 1 (0)        ; 35 (35)           ; 7 (7)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st                                                                           ;              ;
268 ;       |decoder:decoder_inst|                 ; 1 (1)       ; 0            ; 0           ; 0    ; 0            ; 1 (1)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|decoder:decoder_inst                                                      ;              ;
269 ;       |r2_w_ram:register_ram|                ; 0 (0)       ; 0            ; 512         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram                                                     ;              ;
270 ;          |altsyncram:ram_rtl_0|              ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0                                ;              ;
271 ;             |altsyncram_emk1:auto_generated| ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ;              ;
272 ;          |altsyncram:ram_rtl_1|              ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1                                ;              ;
273 ;             |altsyncram_emk1:auto_generated| ; 0 (0)       ; 0            ; 256         ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ;              ;
274 ;    |execute_stage:exec_st|                   ; 226 (164)   ; 34           ; 0           ; 0    ; 0            ; 192 (130)    ; 1 (1)             ; 33 (33)          ; 61 (0)          ; 0 (0)      ; |core_top|execute_stage:exec_st                                                                            ;              ;
275 ;       |alu:alu_inst|                         ; 62 (30)     ; 0            ; 0           ; 0    ; 0            ; 62 (30)      ; 0 (0)             ; 0 (0)            ; 61 (29)         ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst                                                               ;              ;
276 ;          |exec_op:add_inst|                  ; 32 (32)     ; 0            ; 0           ; 0    ; 0            ; 32 (32)      ; 0 (0)             ; 0 (0)            ; 32 (32)         ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst                                              ;              ;
277 ;    |fetch_stage:fetch_st|                    ; 29 (22)     ; 14           ; 0           ; 0    ; 0            ; 15 (11)      ; 12 (11)           ; 2 (0)            ; 11 (11)         ; 0 (0)      ; |core_top|fetch_stage:fetch_st                                                                             ;              ;
278 ;       |r_w_ram:instruction_ram|              ; 7 (7)       ; 3            ; 0           ; 0    ; 0            ; 4 (4)        ; 1 (1)             ; 2 (2)            ; 0 (0)           ; 0 (0)      ; |core_top|fetch_stage:fetch_st|r_w_ram:instruction_ram                                                     ;              ;
279 ;    |writeback_stage:writeback_st|            ; 136 (28)    ; 51           ; 0           ; 0    ; 0            ; 85 (26)      ; 1 (0)             ; 50 (2)           ; 32 (0)          ; 0 (0)      ; |core_top|writeback_stage:writeback_st                                                                     ;              ;
280 ;       |extension_uart:uart|                  ; 108 (14)    ; 49           ; 0           ; 0    ; 0            ; 59 (4)       ; 1 (1)             ; 48 (9)           ; 32 (0)          ; 0 (0)      ; |core_top|writeback_stage:writeback_st|extension_uart:uart                                                 ;              ;
281 ;          |rs232_tx:rs232_tx_inst|            ; 94 (94)     ; 39           ; 0           ; 0    ; 0            ; 55 (55)      ; 0 (0)             ; 39 (39)          ; 32 (32)         ; 0 (0)      ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst                          ;              ;
282 +----------------------------------------------+-------------+--------------+-------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------------------------------------------+--------------+
283 Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
284
285
286 +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
287 ; Analysis & Synthesis RAM Summary                                                                                                                                                                                                                ;
288 +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+--------------------------------------+
289 ; Name                                                                                                        ; Type ; Mode             ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF                                  ;
290 +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+--------------------------------------+
291 ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 16           ; 32           ; 16           ; 32           ; 512  ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ;
292 ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 16           ; 32           ; 16           ; 32           ; 512  ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ;
293 +-------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+--------------------------------------+
294
295
296 Encoding Type:  One-Hot
297 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
298 ; State Machine - |core_top|decode_stage:decode_st|dec_op_inst.op_group                                                                                                                                                                                                                  ;
299 +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+
300 ; Name                           ; dec_op_inst.op_group.JMP_ST_OP ; dec_op_inst.op_group.JMP_OP ; dec_op_inst.op_group.LDST_OP ; dec_op_inst.op_group.SHIFT_OP ; dec_op_inst.op_group.XOR_OP ; dec_op_inst.op_group.OR_OP ; dec_op_inst.op_group.AND_OP ; dec_op_inst.op_group.ADDSUB_OP ;
301 +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+
302 ; dec_op_inst.op_group.ADDSUB_OP ; 0                              ; 0                           ; 0                            ; 0                             ; 0                           ; 0                          ; 0                           ; 0                              ;
303 ; dec_op_inst.op_group.AND_OP    ; 0                              ; 0                           ; 0                            ; 0                             ; 0                           ; 0                          ; 1                           ; 1                              ;
304 ; dec_op_inst.op_group.OR_OP     ; 0                              ; 0                           ; 0                            ; 0                             ; 0                           ; 1                          ; 0                           ; 1                              ;
305 ; dec_op_inst.op_group.XOR_OP    ; 0                              ; 0                           ; 0                            ; 0                             ; 1                           ; 0                          ; 0                           ; 1                              ;
306 ; dec_op_inst.op_group.SHIFT_OP  ; 0                              ; 0                           ; 0                            ; 1                             ; 0                           ; 0                          ; 0                           ; 1                              ;
307 ; dec_op_inst.op_group.LDST_OP   ; 0                              ; 0                           ; 1                            ; 0                             ; 0                           ; 0                          ; 0                           ; 1                              ;
308 ; dec_op_inst.op_group.JMP_OP    ; 0                              ; 1                           ; 0                            ; 0                             ; 0                           ; 0                          ; 0                           ; 1                              ;
309 ; dec_op_inst.op_group.JMP_ST_OP ; 1                              ; 0                           ; 0                            ; 0                             ; 0                           ; 0                          ; 0                           ; 1                              ;
310 +--------------------------------+--------------------------------+-----------------------------+------------------------------+-------------------------------+-----------------------------+----------------------------+-----------------------------+--------------------------------+
311
312
313 +---------------------------------------------------------------------------------------------------------------------------------------------------------------+
314 ; Registers Removed During Synthesis                                                                                                                            ;
315 +--------------------------------------------------------------------------------------+------------------------------------------------------------------------+
316 ; Register name                                                                        ; Reason for Removal                                                     ;
317 +--------------------------------------------------------------------------------------+------------------------------------------------------------------------+
318 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[28]                           ; Stuck at GND due to stuck port data_in                                 ;
319 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[24,26]                        ; Stuck at VCC due to stuck port data_in                                 ;
320 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[0..2,5,8,10..14,16..18,20,22] ; Stuck at GND due to stuck port data_in                                 ;
321 ; decode_stage:decode_st|dec_op_inst.prog_cnt[11..31]                                  ; Stuck at GND due to stuck port data_in                                 ;
322 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[28]                            ; Stuck at GND due to stuck port data_in                                 ;
323 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[24,26]                         ; Stuck at VCC due to stuck port data_in                                 ;
324 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0..2,5,8,10..14,16..18,20,22]  ; Stuck at GND due to stuck port data_in                                 ;
325 ; writeback_stage:writeback_st|wb_reg.hword                                            ; Stuck at GND due to stuck port data_in                                 ;
326 ; writeback_stage:writeback_st|wb_reg.byte_s                                           ; Stuck at GND due to stuck port data_in                                 ;
327 ; decode_stage:decode_st|dec_op_inst.op_detail[1..2,5]                                 ; Stuck at GND due to stuck port data_in                                 ;
328 ; decode_stage:decode_st|dec_op_inst.brpr                                              ; Stuck at GND due to stuck port data_in                                 ;
329 ; decode_stage:decode_st|dec_op_inst.displacement[0..2,5,8,10..31]                     ; Stuck at GND due to stuck port data_in                                 ;
330 ; decode_stage:decode_st|dec_op_inst.saddr1[1..3]                                      ; Stuck at GND due to stuck port data_in                                 ;
331 ; decode_stage:decode_st|dec_op_inst.saddr2[1,3]                                       ; Stuck at GND due to stuck port data_in                                 ;
332 ; decode_stage:decode_st|dec_op_inst.daddr[1,3]                                        ; Stuck at GND due to stuck port data_in                                 ;
333 ; execute_stage:exec_st|reg.res_addr[1,3]                                              ; Stuck at GND due to stuck port data_in                                 ;
334 ; decode_stage:decode_st|rtw_rec.immediate[2,5,7..11,13..31]                           ; Stuck at GND due to stuck port data_in                                 ;
335 ; execute_stage:exec_st|reg.brpr                                                       ; Stuck at GND due to stuck port data_in                                 ;
336 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29]                        ; Lost fanout                                                            ;
337 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28]                        ; Lost fanout                                                            ;
338 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27]                        ; Lost fanout                                                            ;
339 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][26]                        ; Lost fanout                                                            ;
340 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][25]                        ; Lost fanout                                                            ;
341 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][24]                        ; Lost fanout                                                            ;
342 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][23]                        ; Lost fanout                                                            ;
343 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][22]                        ; Lost fanout                                                            ;
344 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][21]                        ; Lost fanout                                                            ;
345 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][20]                        ; Lost fanout                                                            ;
346 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][19]                        ; Lost fanout                                                            ;
347 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][18]                        ; Lost fanout                                                            ;
348 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][17]                        ; Lost fanout                                                            ;
349 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][16]                        ; Lost fanout                                                            ;
350 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][15]                        ; Lost fanout                                                            ;
351 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][14]                        ; Lost fanout                                                            ;
352 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][13]                        ; Lost fanout                                                            ;
353 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][12]                        ; Lost fanout                                                            ;
354 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][11]                        ; Lost fanout                                                            ;
355 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][10]                        ; Lost fanout                                                            ;
356 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][9]                         ; Lost fanout                                                            ;
357 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][8]                         ; Lost fanout                                                            ;
358 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][7]                         ; Lost fanout                                                            ;
359 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][6]                         ; Lost fanout                                                            ;
360 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][5]                         ; Lost fanout                                                            ;
361 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][4]                         ; Lost fanout                                                            ;
362 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][3]                         ; Lost fanout                                                            ;
363 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][2]                         ; Lost fanout                                                            ;
364 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][1]                         ; Lost fanout                                                            ;
365 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][0]                         ; Lost fanout                                                            ;
366 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][29]                        ; Lost fanout                                                            ;
367 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][28]                        ; Lost fanout                                                            ;
368 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][27]                        ; Lost fanout                                                            ;
369 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][26]                        ; Lost fanout                                                            ;
370 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][25]                        ; Lost fanout                                                            ;
371 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][24]                        ; Lost fanout                                                            ;
372 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][23]                        ; Lost fanout                                                            ;
373 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][22]                        ; Lost fanout                                                            ;
374 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][21]                        ; Lost fanout                                                            ;
375 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][20]                        ; Lost fanout                                                            ;
376 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][19]                        ; Lost fanout                                                            ;
377 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][18]                        ; Lost fanout                                                            ;
378 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][17]                        ; Lost fanout                                                            ;
379 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][16]                        ; Lost fanout                                                            ;
380 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][15]                        ; Lost fanout                                                            ;
381 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][14]                        ; Lost fanout                                                            ;
382 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][13]                        ; Lost fanout                                                            ;
383 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][12]                        ; Lost fanout                                                            ;
384 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][11]                        ; Lost fanout                                                            ;
385 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][10]                        ; Lost fanout                                                            ;
386 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][9]                         ; Lost fanout                                                            ;
387 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][8]                         ; Lost fanout                                                            ;
388 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][7]                         ; Lost fanout                                                            ;
389 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][6]                         ; Lost fanout                                                            ;
390 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][5]                         ; Lost fanout                                                            ;
391 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][4]                         ; Lost fanout                                                            ;
392 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][3]                         ; Lost fanout                                                            ;
393 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][2]                         ; Lost fanout                                                            ;
394 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][1]                         ; Lost fanout                                                            ;
395 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][0]                         ; Lost fanout                                                            ;
396 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][29]                        ; Lost fanout                                                            ;
397 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][28]                        ; Lost fanout                                                            ;
398 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][27]                        ; Lost fanout                                                            ;
399 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][26]                        ; Lost fanout                                                            ;
400 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][25]                        ; Lost fanout                                                            ;
401 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][24]                        ; Lost fanout                                                            ;
402 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][23]                        ; Lost fanout                                                            ;
403 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][22]                        ; Lost fanout                                                            ;
404 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][21]                        ; Lost fanout                                                            ;
405 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][20]                        ; Lost fanout                                                            ;
406 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][19]                        ; Lost fanout                                                            ;
407 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][18]                        ; Lost fanout                                                            ;
408 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][17]                        ; Lost fanout                                                            ;
409 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][16]                        ; Lost fanout                                                            ;
410 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][15]                        ; Lost fanout                                                            ;
411 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][14]                        ; Lost fanout                                                            ;
412 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][13]                        ; Lost fanout                                                            ;
413 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][12]                        ; Lost fanout                                                            ;
414 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][11]                        ; Lost fanout                                                            ;
415 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][10]                        ; Lost fanout                                                            ;
416 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][9]                         ; Lost fanout                                                            ;
417 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][8]                         ; Lost fanout                                                            ;
418 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][7]                         ; Lost fanout                                                            ;
419 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][6]                         ; Lost fanout                                                            ;
420 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][5]                         ; Lost fanout                                                            ;
421 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][4]                         ; Lost fanout                                                            ;
422 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][3]                         ; Lost fanout                                                            ;
423 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][2]                         ; Lost fanout                                                            ;
424 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1]                         ; Lost fanout                                                            ;
425 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0]                         ; Lost fanout                                                            ;
426 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[29..30]                       ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[31] ;
427 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[4,6,23,25]                    ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[27] ;
428 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[3,15,19]                      ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[21] ;
429 ; writeback_stage:writeback_st|r_w_ram:data_ram|data_out[7]                            ; Merged with writeback_stage:writeback_st|r_w_ram:data_ram|data_out[9]  ;
430 ; decode_stage:decode_st|dec_op_inst.op_detail[0]                                      ; Merged with decode_stage:decode_st|rtw_rec.imm_set                     ;
431 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[29..30]                        ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31]  ;
432 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[4,6,23,25]                     ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[27]  ;
433 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[3,15,19]                       ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[21]  ;
434 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[7]                             ; Merged with fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[9]   ;
435 ; decode_stage:decode_st|dec_op_inst.daddr[2]                                          ; Lost fanout                                                            ;
436 ; execute_stage:exec_st|reg.res_addr[0]                                                ; Merged with execute_stage:exec_st|reg.res_addr[2]                      ;
437 ; decode_stage:decode_st|rtw_rec.immediate[0]                                          ; Merged with decode_stage:decode_st|rtw_rec.immediate[12]               ;
438 ; decode_stage:decode_st|rtw_rec.immediate[4]                                          ; Merged with decode_stage:decode_st|rtw_rec.immediate[6]                ;
439 ; decode_stage:decode_st|rtw_rec.immediate[1]                                          ; Merged with decode_stage:decode_st|rtw_rec.immediate[3]                ;
440 ; decode_stage:decode_st|dec_op_inst.condition[1..2]                                   ; Merged with decode_stage:decode_st|dec_op_inst.condition[3]            ;
441 ; decode_stage:decode_st|dec_op_inst.displacement[7]                                   ; Merged with decode_stage:decode_st|dec_op_inst.displacement[9]         ;
442 ; decode_stage:decode_st|dec_op_inst.displacement[4]                                   ; Merged with decode_stage:decode_st|dec_op_inst.displacement[6]         ;
443 ; decode_stage:decode_st|dec_op_inst.saddr2[0]                                         ; Merged with decode_stage:decode_st|dec_op_inst.saddr2[2]               ;
444 ; decode_stage:decode_st|dec_op_inst.op_detail[4]                                      ; Stuck at VCC due to stuck port data_in                                 ;
445 ; execute_stage:exec_st|reg.alu_jump                                                   ; Stuck at GND due to stuck port data_in                                 ;
446 ; decode_stage:decode_st|dec_op_inst.condition[0]                                      ; Stuck at GND due to stuck port data_in                                 ;
447 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                        ; Stuck at GND due to stuck port data_in                                 ;
448 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo                        ; Stuck at GND due to stuck port data_in                                 ;
449 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign                        ; Stuck at GND due to stuck port data_in                                 ;
450 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry                       ; Stuck at GND due to stuck port data_in                                 ;
451 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29]                        ; Stuck at GND due to stuck port data_in                                 ;
452 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28]                        ; Stuck at GND due to stuck port data_in                                 ;
453 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27]                        ; Stuck at GND due to stuck port data_in                                 ;
454 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26]                        ; Stuck at GND due to stuck port data_in                                 ;
455 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25]                        ; Stuck at GND due to stuck port data_in                                 ;
456 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24]                        ; Stuck at GND due to stuck port data_in                                 ;
457 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23]                        ; Stuck at GND due to stuck port data_in                                 ;
458 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22]                        ; Stuck at GND due to stuck port data_in                                 ;
459 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21]                        ; Stuck at GND due to stuck port data_in                                 ;
460 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20]                        ; Stuck at GND due to stuck port data_in                                 ;
461 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19]                        ; Stuck at GND due to stuck port data_in                                 ;
462 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18]                        ; Stuck at GND due to stuck port data_in                                 ;
463 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17]                        ; Stuck at GND due to stuck port data_in                                 ;
464 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16]                        ; Stuck at GND due to stuck port data_in                                 ;
465 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15]                        ; Stuck at GND due to stuck port data_in                                 ;
466 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14]                        ; Stuck at GND due to stuck port data_in                                 ;
467 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13]                        ; Stuck at GND due to stuck port data_in                                 ;
468 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12]                        ; Stuck at GND due to stuck port data_in                                 ;
469 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11]                        ; Stuck at GND due to stuck port data_in                                 ;
470 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10]                        ; Stuck at GND due to stuck port data_in                                 ;
471 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9]                         ; Stuck at GND due to stuck port data_in                                 ;
472 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8]                         ; Stuck at GND due to stuck port data_in                                 ;
473 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7]                         ; Stuck at GND due to stuck port data_in                                 ;
474 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6]                         ; Stuck at GND due to stuck port data_in                                 ;
475 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5]                         ; Stuck at GND due to stuck port data_in                                 ;
476 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4]                         ; Stuck at GND due to stuck port data_in                                 ;
477 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3]                         ; Stuck at GND due to stuck port data_in                                 ;
478 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2]                         ; Stuck at GND due to stuck port data_in                                 ;
479 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1]                         ; Stuck at GND due to stuck port data_in                                 ;
480 ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0]                         ; Stuck at GND due to stuck port data_in                                 ;
481 ; decode_stage:decode_st|rtw_rec.imm_set                                               ; Merged with decode_stage:decode_st|rtw_rec.immediate[3]                ;
482 ; decode_stage:decode_st|dec_op_inst.displacement[6]                                   ; Merged with decode_stage:decode_st|rtw_rec.immediate[3]                ;
483 ; decode_stage:decode_st|dec_op_inst.op_detail[3]                                      ; Merged with decode_stage:decode_st|rtw_rec.immediate[3]                ;
484 ; writeback_stage:writeback_st|wb_reg.dmem_write_en                                    ; Merged with writeback_stage:writeback_st|wb_reg.dmem_en                ;
485 ; decode_stage:decode_st|dec_op_inst.saddr1[0]                                         ; Merged with decode_stage:decode_st|dec_op_inst.displacement[3]         ;
486 ; decode_stage:decode_st|dec_op_inst.prog_cnt[0..10]                                   ; Lost fanout                                                            ;
487 ; decode_stage:decode_st|dec_op_inst.condition[3]                                      ; Lost fanout                                                            ;
488 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31]                            ; Lost fanout                                                            ;
489 ; decode_stage:decode_st|dec_op_inst.op_group.ADDSUB_OP                                ; Lost fanout                                                            ;
490 ; decode_stage:decode_st|dec_op_inst.op_group.AND_OP                                   ; Lost fanout                                                            ;
491 ; decode_stage:decode_st|dec_op_inst.op_group.OR_OP                                    ; Lost fanout                                                            ;
492 ; decode_stage:decode_st|dec_op_inst.op_group.XOR_OP                                   ; Lost fanout                                                            ;
493 ; decode_stage:decode_st|dec_op_inst.op_group.SHIFT_OP                                 ; Lost fanout                                                            ;
494 ; decode_stage:decode_st|dec_op_inst.op_group.JMP_OP                                   ; Lost fanout                                                            ;
495 ; decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP                                ; Lost fanout                                                            ;
496 ; fetch_stage:fetch_st|instr_r_addr[11..31]                                            ; Lost fanout                                                            ;
497 ; Total Number of Removed Registers = 330                                              ;                                                                        ;
498 +--------------------------------------------------------------------------------------+------------------------------------------------------------------------+
499
500
501 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
502 ; Removed Registers Triggering Further Register Optimizations                                                                                                                ;
503 +-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+
504 ; Register name                                             ; Reason for Removal        ; Registers Removed due to This Register                                             ;
505 +-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+
506 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[28] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.brpr, execute_stage:exec_st|reg.brpr,           ;
507 ;                                                           ; due to stuck port data_in ; execute_stage:exec_st|reg.alu_jump,                                                ;
508 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.condition[0],                                   ;
509 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry,                    ;
510 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29],                     ;
511 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28],                     ;
512 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27],                     ;
513 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26],                     ;
514 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25],                     ;
515 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24],                     ;
516 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23],                     ;
517 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22],                     ;
518 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21],                     ;
519 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20],                     ;
520 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19],                     ;
521 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18],                     ;
522 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17],                     ;
523 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16],                     ;
524 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15],                     ;
525 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14],                     ;
526 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13],                     ;
527 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12],                     ;
528 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11],                     ;
529 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10],                     ;
530 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9],                      ;
531 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8],                      ;
532 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7],                      ;
533 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6],                      ;
534 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5],                      ;
535 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4],                      ;
536 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3],                      ;
537 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2],                      ;
538 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1],                      ;
539 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0],                      ;
540 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.condition[3],                                   ;
541 ;                                                           ;                           ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31]                          ;
542 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[26] ; Stuck at VCC              ; decode_stage:decode_st|dec_op_inst.op_detail[5],                                   ;
543 ;                                                           ; due to stuck port data_in ; decode_stage:decode_st|dec_op_inst.op_detail[1],                                   ;
544 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.saddr1[3],                                      ;
545 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.saddr1[1],                                      ;
546 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.saddr2[3],                                      ;
547 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.saddr2[1],                                      ;
548 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[31],                                      ;
549 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[26],                                      ;
550 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[25],                                      ;
551 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[24],                                      ;
552 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[23],                                      ;
553 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[22],                                      ;
554 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[21],                                      ;
555 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[20],                                      ;
556 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[19],                                      ;
557 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[18],                                      ;
558 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[17],                                      ;
559 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[16],                                      ;
560 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[15],                                      ;
561 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[14],                                      ;
562 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[13],                                      ;
563 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[11],                                      ;
564 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[10],                                      ;
565 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[9],                                       ;
566 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[8],                                       ;
567 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[7],                                       ;
568 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[5],                                       ;
569 ;                                                           ;                           ; decode_stage:decode_st|rtw_rec.immediate[2],                                       ;
570 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.prog_cnt[8],                                    ;
571 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.prog_cnt[9],                                    ;
572 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.prog_cnt[10],                                   ;
573 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.prog_cnt[2],                                    ;
574 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.prog_cnt[5],                                    ;
575 ;                                                           ;                           ; decode_stage:decode_st|dec_op_inst.prog_cnt[7]                                     ;
576 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[1]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.op_detail[2],                                   ;
577 ;                                                           ; due to stuck port data_in ; decode_stage:decode_st|dec_op_inst.displacement[1],                                ;
578 ;                                                           ;                           ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.sign                      ;
579 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[22] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.daddr[3], execute_stage:exec_st|reg.res_addr[3] ;
580 ;                                                           ; due to stuck port data_in ;                                                                                    ;
581 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[20] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.daddr[1], execute_stage:exec_st|reg.res_addr[1] ;
582 ;                                                           ; due to stuck port data_in ;                                                                                    ;
583 ; decode_stage:decode_st|dec_op_inst.prog_cnt[31]           ; Stuck at GND              ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.oflo                      ;
584 ;                                                           ; due to stuck port data_in ;                                                                                    ;
585 ; decode_stage:decode_st|dec_op_inst.prog_cnt[23]           ; Stuck at GND              ; execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                      ;
586 ;                                                           ; due to stuck port data_in ;                                                                                    ;
587 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[14] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[14]                                ;
588 ;                                                           ; due to stuck port data_in ;                                                                                    ;
589 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[13] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[13]                                ;
590 ;                                                           ; due to stuck port data_in ;                                                                                    ;
591 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[12] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[12]                                ;
592 ;                                                           ; due to stuck port data_in ;                                                                                    ;
593 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[11] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[11]                                ;
594 ;                                                           ; due to stuck port data_in ;                                                                                    ;
595 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[10] ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[10]                                ;
596 ;                                                           ; due to stuck port data_in ;                                                                                    ;
597 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[8]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[8]                                 ;
598 ;                                                           ; due to stuck port data_in ;                                                                                    ;
599 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[5]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[5]                                 ;
600 ;                                                           ; due to stuck port data_in ;                                                                                    ;
601 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[2]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[2]                                 ;
602 ;                                                           ; due to stuck port data_in ;                                                                                    ;
603 ; fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[0]  ; Stuck at GND              ; decode_stage:decode_st|dec_op_inst.displacement[0]                                 ;
604 ;                                                           ; due to stuck port data_in ;                                                                                    ;
605 +-----------------------------------------------------------+---------------------------+------------------------------------------------------------------------------------+
606
607
608 +------------------------------------------------------+
609 ; General Register Statistics                          ;
610 +----------------------------------------------+-------+
611 ; Statistic                                    ; Value ;
612 +----------------------------------------------+-------+
613 ; Total registers                              ; 141   ;
614 ; Number of registers using Synchronous Clear  ; 1     ;
615 ; Number of registers using Synchronous Load   ; 2     ;
616 ; Number of registers using Asynchronous Clear ; 0     ;
617 ; Number of registers using Asynchronous Load  ; 0     ;
618 ; Number of registers using Clock Enable       ; 13    ;
619 ; Number of registers using Preset             ; 0     ;
620 +----------------------------------------------+-------+
621
622
623 +------------------------------------------------------------------------------------------------+
624 ; Inverted Register Statistics                                                                   ;
625 +--------------------------------------------------------------------------------------+---------+
626 ; Inverted Register                                                                    ; Fan out ;
627 +--------------------------------------------------------------------------------------+---------+
628 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int   ; 1       ;
629 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[23] ; 2       ;
630 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[22] ; 2       ;
631 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[21] ; 2       ;
632 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[20] ; 2       ;
633 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[19] ; 2       ;
634 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[16] ; 2       ;
635 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[13] ; 2       ;
636 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[11] ; 2       ;
637 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[10] ; 2       ;
638 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[8]  ; 2       ;
639 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[4]  ; 2       ;
640 ; Total number of inverted registers = 12                                              ;         ;
641 +--------------------------------------------------------------------------------------+---------+
642
643
644 +-------------------------------------------------------------------------------------------------------------------------+
645 ; Registers Packed Into Inferred Megafunctions                                                                            ;
646 +------------------------------------------------------------+-----------------------------------------------------+------+
647 ; Register Name                                              ; Megafunction                                        ; Type ;
648 +------------------------------------------------------------+-----------------------------------------------------+------+
649 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[0]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
650 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[1]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
651 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[2]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
652 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[3]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
653 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[4]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
654 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[5]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
655 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[6]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
656 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[7]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
657 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[8]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
658 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[9]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
659 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[10] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
660 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[11] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
661 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[12] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
662 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[13] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
663 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[14] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
664 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[15] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
665 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[16] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
666 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[17] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
667 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[18] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
668 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[19] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
669 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[20] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
670 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[21] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
671 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[22] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
672 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[23] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
673 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[24] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
674 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[25] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
675 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[26] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
676 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[27] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
677 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[28] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
678 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[29] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
679 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[30] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
680 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out1[31] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~37 ; RAM  ;
681 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[0]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
682 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[1]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
683 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[2]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
684 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[3]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
685 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[4]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
686 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[5]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
687 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[6]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
688 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[7]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
689 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[8]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
690 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[9]  ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
691 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[10] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
692 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[11] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
693 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[12] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
694 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[13] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
695 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[14] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
696 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[15] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
697 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[16] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
698 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[17] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
699 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[18] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
700 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[19] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
701 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[20] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
702 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[21] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
703 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[22] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
704 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[23] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
705 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[24] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
706 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[25] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
707 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[26] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
708 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[27] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
709 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[28] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
710 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[29] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
711 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[30] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
712 ; decode_stage:decode_st|r2_w_ram:register_ram|data_out2[31] ; decode_stage:decode_st|r2_w_ram:register_ram|ram~38 ; RAM  ;
713 +------------------------------------------------------------+-----------------------------------------------------+------+
714
715
716 +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
717 ; Multiplexer Restructuring Statistics (Restructuring Performed)                                                                                                                                               ;
718 +--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+
719 ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output                                                                     ;
720 +--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+
721 ; 3:1                ; 21 bits   ; 42 LEs        ; 21 LEs               ; 21 LEs                 ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[24] ;
722 ; 3:1                ; 32 bits   ; 64 LEs        ; 32 LEs               ; 32 LEs                 ; Yes        ; |core_top|execute_stage:exec_st|reg.result[12]                                                 ;
723 ; 4:1                ; 7 bits    ; 14 LEs        ; 7 LEs                ; 7 LEs                  ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3]                     ;
724 ; 18:1               ; 3 bits    ; 36 LEs        ; 3 LEs                ; 33 LEs                 ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[3]       ;
725 ; 3:1                ; 11 bits   ; 22 LEs        ; 22 LEs               ; 0 LEs                  ; Yes        ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[22] ;
726 ; 3:1                ; 2 bits    ; 4 LEs         ; 4 LEs                ; 0 LEs                  ; No         ; |core_top|writeback_stage:writeback_st|ext_uart.addr[1]                                        ;
727 ; 3:1                ; 32 bits   ; 64 LEs        ; 64 LEs               ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|left_operand[28]                                               ;
728 ; 4:1                ; 28 bits   ; 56 LEs        ; 56 LEs               ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|right_operand[2]                                               ;
729 ; 4:1                ; 4 bits    ; 8 LEs         ; 8 LEs                ; 0 LEs                  ; No         ; |core_top|execute_stage:exec_st|right_operand[6]                                               ;
730 +--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------------------+
731
732
733 +-------------------------------------------------------------------------------------------------------------------------+
734 ; Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated ;
735 +---------------------------------+--------------------+------+-----------------------------------------------------------+
736 ; Assignment                      ; Value              ; From ; To                                                        ;
737 +---------------------------------+--------------------+------+-----------------------------------------------------------+
738 ; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; -    ; -                                                         ;
739 +---------------------------------+--------------------+------+-----------------------------------------------------------+
740
741
742 +-------------------------------------------------------------------------------------------------------------------------+
743 ; Source assignments for decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated ;
744 +---------------------------------+--------------------+------+-----------------------------------------------------------+
745 ; Assignment                      ; Value              ; From ; To                                                        ;
746 +---------------------------------+--------------------+------+-----------------------------------------------------------+
747 ; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; -    ; -                                                         ;
748 +---------------------------------+--------------------+------+-----------------------------------------------------------+
749
750
751 +-------------------------------------------------------------------+
752 ; Parameter Settings for User Entity Instance: fetch_stage:fetch_st ;
753 +----------------+-------+------------------------------------------+
754 ; Parameter Name ; Value ; Type                                     ;
755 +----------------+-------+------------------------------------------+
756 ; reset_value    ; '0'   ; Enumerated                               ;
757 ; logic_act      ; '1'   ; Enumerated                               ;
758 +----------------+-------+------------------------------------------+
759 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
760
761
762 +-------------------------------------------------------------------------------------------+
763 ; Parameter Settings for User Entity Instance: fetch_stage:fetch_st|r_w_ram:instruction_ram ;
764 +----------------+-------+------------------------------------------------------------------+
765 ; Parameter Name ; Value ; Type                                                             ;
766 +----------------+-------+------------------------------------------------------------------+
767 ; addr_width     ; 11    ; Signed Integer                                                   ;
768 ; data_width     ; 32    ; Signed Integer                                                   ;
769 +----------------+-------+------------------------------------------------------------------+
770 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
771
772
773 +---------------------------------------------------------------------+
774 ; Parameter Settings for User Entity Instance: decode_stage:decode_st ;
775 +----------------+-------+--------------------------------------------+
776 ; Parameter Name ; Value ; Type                                       ;
777 +----------------+-------+--------------------------------------------+
778 ; reset_value    ; '0'   ; Enumerated                                 ;
779 ; logic_act      ; '1'   ; Enumerated                                 ;
780 +----------------+-------+--------------------------------------------+
781 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
782
783
784 +-------------------------------------------------------------------------------------------+
785 ; Parameter Settings for User Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram ;
786 +----------------+-------+------------------------------------------------------------------+
787 ; Parameter Name ; Value ; Type                                                             ;
788 +----------------+-------+------------------------------------------------------------------+
789 ; addr_width     ; 4     ; Signed Integer                                                   ;
790 ; data_width     ; 32    ; Signed Integer                                                   ;
791 +----------------+-------+------------------------------------------------------------------+
792 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
793
794
795 +--------------------------------------------------------------------+
796 ; Parameter Settings for User Entity Instance: execute_stage:exec_st ;
797 +----------------+-------+-------------------------------------------+
798 ; Parameter Name ; Value ; Type                                      ;
799 +----------------+-------+-------------------------------------------+
800 ; reset_value    ; '0'   ; Enumerated                                ;
801 +----------------+-------+-------------------------------------------+
802 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
803
804
805 +--------------------------------------------------------------------------------------------+
806 ; Parameter Settings for User Entity Instance: execute_stage:exec_st|extension_gpm:gpmp_inst ;
807 +----------------+-------+-------------------------------------------------------------------+
808 ; Parameter Name ; Value ; Type                                                              ;
809 +----------------+-------+-------------------------------------------------------------------+
810 ; reset_value    ; '0'   ; Enumerated                                                        ;
811 +----------------+-------+-------------------------------------------------------------------+
812 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
813
814
815 +---------------------------------------------------------------------------+
816 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st ;
817 +----------------+-------+--------------------------------------------------+
818 ; Parameter Name ; Value ; Type                                             ;
819 +----------------+-------+--------------------------------------------------+
820 ; reset_value    ; '0'   ; Enumerated                                       ;
821 ; logic_act      ; '1'   ; Enumerated                                       ;
822 +----------------+-------+--------------------------------------------------+
823 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
824
825
826 +--------------------------------------------------------------------------------------------+
827 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|r_w_ram:data_ram ;
828 +----------------+-------+-------------------------------------------------------------------+
829 ; Parameter Name ; Value ; Type                                                              ;
830 +----------------+-------+-------------------------------------------------------------------+
831 ; addr_width     ; 11    ; Signed Integer                                                    ;
832 ; data_width     ; 32    ; Signed Integer                                                    ;
833 +----------------+-------+-------------------------------------------------------------------+
834 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
835
836
837 +-----------------------------------------------------------------------------------------------+
838 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart ;
839 +----------------+-------+----------------------------------------------------------------------+
840 ; Parameter Name ; Value ; Type                                                                 ;
841 +----------------+-------+----------------------------------------------------------------------+
842 ; reset_value    ; '0'   ; Enumerated                                                           ;
843 +----------------+-------+----------------------------------------------------------------------+
844 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
845
846
847 +----------------------------------------------------------------------------------------------------------------------+
848 ; Parameter Settings for User Entity Instance: writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst ;
849 +----------------+-------+---------------------------------------------------------------------------------------------+
850 ; Parameter Name ; Value ; Type                                                                                        ;
851 +----------------+-------+---------------------------------------------------------------------------------------------+
852 ; reset_value    ; '0'   ; Enumerated                                                                                  ;
853 +----------------+-------+---------------------------------------------------------------------------------------------+
854 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
855
856
857 +--------------------------------------------------------------------------------------------------------------------+
858 ; Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ;
859 +------------------------------------+--------------------------------------+----------------------------------------+
860 ; Parameter Name                     ; Value                                ; Type                                   ;
861 +------------------------------------+--------------------------------------+----------------------------------------+
862 ; BYTE_SIZE_BLOCK                    ; 8                                    ; Untyped                                ;
863 ; AUTO_CARRY_CHAINS                  ; ON                                   ; AUTO_CARRY                             ;
864 ; IGNORE_CARRY_BUFFERS               ; OFF                                  ; IGNORE_CARRY                           ;
865 ; AUTO_CASCADE_CHAINS                ; ON                                   ; AUTO_CASCADE                           ;
866 ; IGNORE_CASCADE_BUFFERS             ; OFF                                  ; IGNORE_CASCADE                         ;
867 ; WIDTH_BYTEENA                      ; 1                                    ; Untyped                                ;
868 ; OPERATION_MODE                     ; DUAL_PORT                            ; Untyped                                ;
869 ; WIDTH_A                            ; 32                                   ; Untyped                                ;
870 ; WIDTHAD_A                          ; 4                                    ; Untyped                                ;
871 ; NUMWORDS_A                         ; 16                                   ; Untyped                                ;
872 ; OUTDATA_REG_A                      ; UNREGISTERED                         ; Untyped                                ;
873 ; ADDRESS_ACLR_A                     ; NONE                                 ; Untyped                                ;
874 ; OUTDATA_ACLR_A                     ; NONE                                 ; Untyped                                ;
875 ; WRCONTROL_ACLR_A                   ; NONE                                 ; Untyped                                ;
876 ; INDATA_ACLR_A                      ; NONE                                 ; Untyped                                ;
877 ; BYTEENA_ACLR_A                     ; NONE                                 ; Untyped                                ;
878 ; WIDTH_B                            ; 32                                   ; Untyped                                ;
879 ; WIDTHAD_B                          ; 4                                    ; Untyped                                ;
880 ; NUMWORDS_B                         ; 16                                   ; Untyped                                ;
881 ; INDATA_REG_B                       ; CLOCK1                               ; Untyped                                ;
882 ; WRCONTROL_WRADDRESS_REG_B          ; CLOCK1                               ; Untyped                                ;
883 ; RDCONTROL_REG_B                    ; CLOCK1                               ; Untyped                                ;
884 ; ADDRESS_REG_B                      ; CLOCK0                               ; Untyped                                ;
885 ; OUTDATA_REG_B                      ; UNREGISTERED                         ; Untyped                                ;
886 ; BYTEENA_REG_B                      ; CLOCK1                               ; Untyped                                ;
887 ; INDATA_ACLR_B                      ; NONE                                 ; Untyped                                ;
888 ; WRCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
889 ; ADDRESS_ACLR_B                     ; NONE                                 ; Untyped                                ;
890 ; OUTDATA_ACLR_B                     ; NONE                                 ; Untyped                                ;
891 ; RDCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
892 ; BYTEENA_ACLR_B                     ; NONE                                 ; Untyped                                ;
893 ; WIDTH_BYTEENA_A                    ; 1                                    ; Untyped                                ;
894 ; WIDTH_BYTEENA_B                    ; 1                                    ; Untyped                                ;
895 ; RAM_BLOCK_TYPE                     ; AUTO                                 ; Untyped                                ;
896 ; BYTE_SIZE                          ; 8                                    ; Untyped                                ;
897 ; READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                             ; Untyped                                ;
898 ; READ_DURING_WRITE_MODE_PORT_A      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
899 ; READ_DURING_WRITE_MODE_PORT_B      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
900 ; INIT_FILE                          ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; Untyped                                ;
901 ; INIT_FILE_LAYOUT                   ; PORT_A                               ; Untyped                                ;
902 ; MAXIMUM_DEPTH                      ; 0                                    ; Untyped                                ;
903 ; CLOCK_ENABLE_INPUT_A               ; NORMAL                               ; Untyped                                ;
904 ; CLOCK_ENABLE_INPUT_B               ; NORMAL                               ; Untyped                                ;
905 ; CLOCK_ENABLE_OUTPUT_A              ; NORMAL                               ; Untyped                                ;
906 ; CLOCK_ENABLE_OUTPUT_B              ; NORMAL                               ; Untyped                                ;
907 ; CLOCK_ENABLE_CORE_A                ; USE_INPUT_CLKEN                      ; Untyped                                ;
908 ; CLOCK_ENABLE_CORE_B                ; USE_INPUT_CLKEN                      ; Untyped                                ;
909 ; ENABLE_ECC                         ; FALSE                                ; Untyped                                ;
910 ; DEVICE_FAMILY                      ; Cyclone                              ; Untyped                                ;
911 ; CBXI_PARAMETER                     ; altsyncram_emk1                      ; Untyped                                ;
912 +------------------------------------+--------------------------------------+----------------------------------------+
913 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
914
915
916 +--------------------------------------------------------------------------------------------------------------------+
917 ; Parameter Settings for Inferred Entity Instance: decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ;
918 +------------------------------------+--------------------------------------+----------------------------------------+
919 ; Parameter Name                     ; Value                                ; Type                                   ;
920 +------------------------------------+--------------------------------------+----------------------------------------+
921 ; BYTE_SIZE_BLOCK                    ; 8                                    ; Untyped                                ;
922 ; AUTO_CARRY_CHAINS                  ; ON                                   ; AUTO_CARRY                             ;
923 ; IGNORE_CARRY_BUFFERS               ; OFF                                  ; IGNORE_CARRY                           ;
924 ; AUTO_CASCADE_CHAINS                ; ON                                   ; AUTO_CASCADE                           ;
925 ; IGNORE_CASCADE_BUFFERS             ; OFF                                  ; IGNORE_CASCADE                         ;
926 ; WIDTH_BYTEENA                      ; 1                                    ; Untyped                                ;
927 ; OPERATION_MODE                     ; DUAL_PORT                            ; Untyped                                ;
928 ; WIDTH_A                            ; 32                                   ; Untyped                                ;
929 ; WIDTHAD_A                          ; 4                                    ; Untyped                                ;
930 ; NUMWORDS_A                         ; 16                                   ; Untyped                                ;
931 ; OUTDATA_REG_A                      ; UNREGISTERED                         ; Untyped                                ;
932 ; ADDRESS_ACLR_A                     ; NONE                                 ; Untyped                                ;
933 ; OUTDATA_ACLR_A                     ; NONE                                 ; Untyped                                ;
934 ; WRCONTROL_ACLR_A                   ; NONE                                 ; Untyped                                ;
935 ; INDATA_ACLR_A                      ; NONE                                 ; Untyped                                ;
936 ; BYTEENA_ACLR_A                     ; NONE                                 ; Untyped                                ;
937 ; WIDTH_B                            ; 32                                   ; Untyped                                ;
938 ; WIDTHAD_B                          ; 4                                    ; Untyped                                ;
939 ; NUMWORDS_B                         ; 16                                   ; Untyped                                ;
940 ; INDATA_REG_B                       ; CLOCK1                               ; Untyped                                ;
941 ; WRCONTROL_WRADDRESS_REG_B          ; CLOCK1                               ; Untyped                                ;
942 ; RDCONTROL_REG_B                    ; CLOCK1                               ; Untyped                                ;
943 ; ADDRESS_REG_B                      ; CLOCK0                               ; Untyped                                ;
944 ; OUTDATA_REG_B                      ; UNREGISTERED                         ; Untyped                                ;
945 ; BYTEENA_REG_B                      ; CLOCK1                               ; Untyped                                ;
946 ; INDATA_ACLR_B                      ; NONE                                 ; Untyped                                ;
947 ; WRCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
948 ; ADDRESS_ACLR_B                     ; NONE                                 ; Untyped                                ;
949 ; OUTDATA_ACLR_B                     ; NONE                                 ; Untyped                                ;
950 ; RDCONTROL_ACLR_B                   ; NONE                                 ; Untyped                                ;
951 ; BYTEENA_ACLR_B                     ; NONE                                 ; Untyped                                ;
952 ; WIDTH_BYTEENA_A                    ; 1                                    ; Untyped                                ;
953 ; WIDTH_BYTEENA_B                    ; 1                                    ; Untyped                                ;
954 ; RAM_BLOCK_TYPE                     ; AUTO                                 ; Untyped                                ;
955 ; BYTE_SIZE                          ; 8                                    ; Untyped                                ;
956 ; READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                             ; Untyped                                ;
957 ; READ_DURING_WRITE_MODE_PORT_A      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
958 ; READ_DURING_WRITE_MODE_PORT_B      ; NEW_DATA_NO_NBE_READ                 ; Untyped                                ;
959 ; INIT_FILE                          ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; Untyped                                ;
960 ; INIT_FILE_LAYOUT                   ; PORT_A                               ; Untyped                                ;
961 ; MAXIMUM_DEPTH                      ; 0                                    ; Untyped                                ;
962 ; CLOCK_ENABLE_INPUT_A               ; NORMAL                               ; Untyped                                ;
963 ; CLOCK_ENABLE_INPUT_B               ; NORMAL                               ; Untyped                                ;
964 ; CLOCK_ENABLE_OUTPUT_A              ; NORMAL                               ; Untyped                                ;
965 ; CLOCK_ENABLE_OUTPUT_B              ; NORMAL                               ; Untyped                                ;
966 ; CLOCK_ENABLE_CORE_A                ; USE_INPUT_CLKEN                      ; Untyped                                ;
967 ; CLOCK_ENABLE_CORE_B                ; USE_INPUT_CLKEN                      ; Untyped                                ;
968 ; ENABLE_ECC                         ; FALSE                                ; Untyped                                ;
969 ; DEVICE_FAMILY                      ; Cyclone                              ; Untyped                                ;
970 ; CBXI_PARAMETER                     ; altsyncram_emk1                      ; Untyped                                ;
971 +------------------------------------+--------------------------------------+----------------------------------------+
972 Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
973
974
975 +---------------------------------------------------------------------------------------------------------------+
976 ; altsyncram Parameter Settings by Entity Instance                                                              ;
977 +-------------------------------------------+-------------------------------------------------------------------+
978 ; Name                                      ; Value                                                             ;
979 +-------------------------------------------+-------------------------------------------------------------------+
980 ; Number of entity instances                ; 2                                                                 ;
981 ; Entity Instance                           ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0 ;
982 ;     -- OPERATION_MODE                     ; DUAL_PORT                                                         ;
983 ;     -- WIDTH_A                            ; 32                                                                ;
984 ;     -- NUMWORDS_A                         ; 16                                                                ;
985 ;     -- OUTDATA_REG_A                      ; UNREGISTERED                                                      ;
986 ;     -- WIDTH_B                            ; 32                                                                ;
987 ;     -- NUMWORDS_B                         ; 16                                                                ;
988 ;     -- ADDRESS_REG_B                      ; CLOCK0                                                            ;
989 ;     -- OUTDATA_REG_B                      ; UNREGISTERED                                                      ;
990 ;     -- RAM_BLOCK_TYPE                     ; AUTO                                                              ;
991 ;     -- READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                                                          ;
992 ; Entity Instance                           ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1 ;
993 ;     -- OPERATION_MODE                     ; DUAL_PORT                                                         ;
994 ;     -- WIDTH_A                            ; 32                                                                ;
995 ;     -- NUMWORDS_A                         ; 16                                                                ;
996 ;     -- OUTDATA_REG_A                      ; UNREGISTERED                                                      ;
997 ;     -- WIDTH_B                            ; 32                                                                ;
998 ;     -- NUMWORDS_B                         ; 16                                                                ;
999 ;     -- ADDRESS_REG_B                      ; CLOCK0                                                            ;
1000 ;     -- OUTDATA_REG_B                      ; UNREGISTERED                                                      ;
1001 ;     -- RAM_BLOCK_TYPE                     ; AUTO                                                              ;
1002 ;     -- READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA                                                          ;
1003 +-------------------------------------------+-------------------------------------------------------------------+
1004
1005
1006 +--------------------------------------------------------------------------------------------------------------------+
1007 ; Port Connectivity Checks: "writeback_stage:writeback_st|extension_uart:uart"                                       ;
1008 +----------+--------+----------+-------------------------------------------------------------------------------------+
1009 ; Port     ; Type   ; Severity ; Details                                                                             ;
1010 +----------+--------+----------+-------------------------------------------------------------------------------------+
1011 ; data_out ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1012 +----------+--------+----------+-------------------------------------------------------------------------------------+
1013
1014
1015 +----------------------------------------------------------+
1016 ; Port Connectivity Checks: "writeback_stage:writeback_st" ;
1017 +-------+-------+----------+-------------------------------+
1018 ; Port  ; Type  ; Severity ; Details                       ;
1019 +-------+-------+----------+-------------------------------+
1020 ; reset ; Input ; Info     ; Stuck at VCC                  ;
1021 +-------+-------+----------+-------------------------------+
1022
1023
1024 +----------------------------------------------------------------------------------------------------------------------------------+
1025 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst"                                                ;
1026 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1027 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1028 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1029 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1030 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1031 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1032 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1033 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1034 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1035 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1036 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1037
1038
1039 +----------------------------------------------------------------------------------------------------------------------------------+
1040 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst"                                                  ;
1041 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1042 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1043 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1044 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1045 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1046 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1047 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1048 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1049 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1050 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1051 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1052
1053
1054 +----------------------------------------------------------------------------------------------------------------------------------+
1055 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst"                                                   ;
1056 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1057 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1058 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1059 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1060 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1061 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1062 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1063 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1064 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1065 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1066 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1067
1068
1069 +----------------------------------------------------------------------------------------------------------------------------------+
1070 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst"                                                  ;
1071 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1072 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1073 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1074 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1075 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1076 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1077 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1078 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1079 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1080 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1081 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1082
1083
1084 +----------------------------------------------------------------------------------------------------------------------------------+
1085 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst"                                                  ;
1086 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1087 ; Port                   ; Type   ; Severity ; Details                                                                             ;
1088 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1089 ; alu_result.status.zero ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1090 ; alu_result.status.sign ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1091 ; alu_result.alu_jump    ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1092 ; alu_result.brpr        ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1093 ; alu_result.reg_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1094 ; alu_result.mem_op      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1095 ; alu_result.mem_en      ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1096 +------------------------+--------+----------+-------------------------------------------------------------------------------------+
1097
1098
1099 +------------------------------------------------------------------------------------------------------------------------------+
1100 ; Port Connectivity Checks: "execute_stage:exec_st|alu:alu_inst"                                                               ;
1101 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1102 ; Port               ; Type   ; Severity ; Details                                                                             ;
1103 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1104 ; alu_state.reg_op   ; Input  ; Info     ; Stuck at GND                                                                        ;
1105 ; alu_state.mem_op   ; Input  ; Info     ; Stuck at GND                                                                        ;
1106 ; alu_state.mem_en   ; Input  ; Info     ; Stuck at GND                                                                        ;
1107 ; alu_state.hw_op    ; Input  ; Info     ; Stuck at GND                                                                        ;
1108 ; alu_state.byte_op  ; Input  ; Info     ; Stuck at GND                                                                        ;
1109 ; alu_state.sign_xt  ; Input  ; Info     ; Stuck at GND                                                                        ;
1110 ; alu_result.sign_xt ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1111 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1112
1113
1114 +------------------------------------------------------------------------------------------------------------------------+
1115 ; Port Connectivity Checks: "execute_stage:exec_st"                                                                      ;
1116 +--------------+--------+----------+-------------------------------------------------------------------------------------+
1117 ; Port         ; Type   ; Severity ; Details                                                                             ;
1118 +--------------+--------+----------+-------------------------------------------------------------------------------------+
1119 ; reset        ; Input  ; Info     ; Stuck at VCC                                                                        ;
1120 ; ext_data_out ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1121 +--------------+--------+----------+-------------------------------------------------------------------------------------+
1122
1123
1124 +------------------------------------------------------------------------------------------------------------------------------+
1125 ; Port Connectivity Checks: "decode_stage:decode_st|decoder:decoder_inst"                                                      ;
1126 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1127 ; Port               ; Type   ; Severity ; Details                                                                             ;
1128 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1129 ; instr_spl.jmptype  ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1130 ; instr_spl.high_low ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1131 ; instr_spl.fill     ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1132 ; instr_spl.signext  ; Output ; Info     ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ;
1133 +--------------------+--------+----------+-------------------------------------------------------------------------------------+
1134
1135
1136 +----------------------------------------------------+
1137 ; Port Connectivity Checks: "decode_stage:decode_st" ;
1138 +-------+-------+----------+-------------------------+
1139 ; Port  ; Type  ; Severity ; Details                 ;
1140 +-------+-------+----------+-------------------------+
1141 ; reset ; Input ; Info     ; Stuck at VCC            ;
1142 +-------+-------+----------+-------------------------+
1143
1144
1145 +--------------------------------------------------+
1146 ; Port Connectivity Checks: "fetch_stage:fetch_st" ;
1147 +-------+-------+----------+-----------------------+
1148 ; Port  ; Type  ; Severity ; Details               ;
1149 +-------+-------+----------+-----------------------+
1150 ; reset ; Input ; Info     ; Stuck at VCC          ;
1151 +-------+-------+----------+-----------------------+
1152
1153
1154 +-------------------------------+
1155 ; Analysis & Synthesis Messages ;
1156 +-------------------------------+
1157 Info: *******************************************************************
1158 Info: Running Quartus II Analysis & Synthesis
1159     Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
1160     Info: Processing started: Thu Dec 16 16:54:33 2010
1161 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off dt -c dt
1162 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/writeback_stage_b.vhd
1163     Info: Found design unit 1: writeback_stage-behav
1164 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/writeback_stage.vhd
1165     Info: Found entity 1: writeback_stage
1166 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/rw_r_ram_b.vhd
1167     Info: Found design unit 1: rw_r_ram-behaviour
1168 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/rw_r_ram.vhd
1169     Info: Found entity 1: rw_r_ram
1170 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/rs232_tx_arc.vhd
1171     Info: Found design unit 1: rs232_tx-beh
1172 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/rs232_tx.vhd
1173     Info: Found entity 1: rs232_tx
1174 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/r_w_ram_b.vhd
1175     Info: Found design unit 1: r_w_ram-behaviour
1176 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/r_w_ram.vhd
1177     Info: Found entity 1: r_w_ram
1178 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/r2_w_ram_b.vhd
1179     Info: Found design unit 1: r2_w_ram-behaviour
1180 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/r2_w_ram.vhd
1181     Info: Found entity 1: r2_w_ram
1182 Info: Found 3 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/pipeline_tb.vhd
1183     Info: Found design unit 1: pipeline_tb-behavior
1184     Info: Found design unit 2: pipeline_conf_beh
1185     Info: Found entity 1: pipeline_tb
1186 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/mem_pkg.vhd
1187     Info: Found design unit 1: mem_pkg
1188 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/fetch_stage_b.vhd
1189     Info: Found design unit 1: fetch_stage-behav
1190 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/fetch_stage.vhd
1191     Info: Found entity 1: fetch_stage
1192 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/extension_uart_pkg.vhd
1193     Info: Found design unit 1: extension_uart_pkg
1194 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/extension_uart_b.vhd
1195     Info: Found design unit 1: extension_uart-behav
1196 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/extension_uart.vhd
1197     Info: Found entity 1: extension_uart
1198 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/extension_pkg.vhd
1199     Info: Found design unit 1: extension_pkg
1200 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/extension_b.vhd
1201     Info: Found design unit 1: extension_gpm-behav
1202 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/extension.vhd
1203     Info: Found entity 1: extension_gpm
1204 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/execute_stage_b.vhd
1205     Info: Found design unit 1: execute_stage-behav
1206 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/execute_stage.vhd
1207     Info: Found entity 1: execute_stage
1208 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/exec_op.vhd
1209     Info: Found entity 1: exec_op
1210 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/decoder_b.vhd
1211     Info: Found design unit 1: decoder-behav_d
1212 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/decoder.vhd
1213     Info: Found entity 1: decoder
1214 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/decode_stage_b.vhd
1215     Info: Found design unit 1: decode_stage-behav
1216 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/decode_stage.vhd
1217     Info: Found entity 1: decode_stage
1218 Info: Found 2 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/core_top.vhd
1219     Info: Found design unit 1: core_top-behav
1220     Info: Found entity 1: core_top
1221 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/core_pkg.vhd
1222     Info: Found design unit 1: core_pkg
1223 Info: Found 2 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/common_pkg.vhd
1224     Info: Found design unit 1: common_pkg
1225     Info: Found design unit 2: common_pkg-body
1226 Info: Found 2 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/alu_pkg.vhd
1227     Info: Found design unit 1: alu_pkg
1228     Info: Found design unit 2: alu_pkg-body
1229 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/alu_b.vhd
1230     Info: Found design unit 1: alu-behaviour
1231 Info: Found 1 design units, including 1 entities, in source file /homes/burban/calu/cpu/src/alu.vhd
1232     Info: Found entity 1: alu
1233 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/exec_op/xor_op_b.vhd
1234     Info: Found design unit 1: exec_op-xor_op
1235 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/exec_op/shift_op_b.vhd
1236     Info: Found design unit 1: exec_op-shift_op
1237 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/exec_op/or_op_b.vhd
1238     Info: Found design unit 1: exec_op-or_op
1239 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/exec_op/and_op_b.vhd
1240     Info: Found design unit 1: exec_op-and_op
1241 Info: Found 1 design units, including 0 entities, in source file /homes/burban/calu/cpu/src/exec_op/add_op_b.vhd
1242     Info: Found design unit 1: exec_op-add_op
1243 Info: Elaborating entity "core_top" for the top level hierarchy
1244 Warning (10036): Verilog HDL or VHDL warning at core_top.vhd(24): object "jump_result" assigned a value but never read
1245 Warning (10541): VHDL Signal Declaration warning at core_top.vhd(53): used implicit default value for signal "gpm_in_pin" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1246 Warning (10036): Verilog HDL or VHDL warning at core_top.vhd(54): object "gpm_out_pin" assigned a value but never read
1247 Info: Elaborating entity "fetch_stage" for hierarchy "fetch_stage:fetch_st"
1248 Warning (10541): VHDL Signal Declaration warning at fetch_stage_b.vhd(11): used implicit default value for signal "instr_w_addr" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1249 Warning (10541): VHDL Signal Declaration warning at fetch_stage_b.vhd(14): used implicit default value for signal "instr_we" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1250 Warning (10541): VHDL Signal Declaration warning at fetch_stage_b.vhd(15): used implicit default value for signal "instr_wr_data" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1251 Info: Elaborating entity "r_w_ram" for hierarchy "fetch_stage:fetch_st|r_w_ram:instruction_ram"
1252 Warning (10036): Verilog HDL or VHDL warning at r_w_ram_b.vhd(15): object "ram" assigned a value but never read
1253 Info: Elaborating entity "decode_stage" for hierarchy "decode_stage:decode_st"
1254 Info: Elaborating entity "r2_w_ram" for hierarchy "decode_stage:decode_st|r2_w_ram:register_ram"
1255 Info: Elaborating entity "decoder" for hierarchy "decode_stage:decode_st|decoder:decoder_inst"
1256 Info: Elaborating entity "execute_stage" for hierarchy "execute_stage:exec_st"
1257 Warning (10541): VHDL Signal Declaration warning at execute_stage_b.vhd(19): used implicit default value for signal "ext_gpmp" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations.
1258 Info: Elaborating entity "alu" for hierarchy "execute_stage:exec_st|alu:alu_inst"
1259 Info: Elaborating entity "exec_op" using architecture "A:add_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:add_inst"
1260 Info: Elaborating entity "exec_op" using architecture "A:and_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:and_inst"
1261 Info: Elaborating entity "exec_op" using architecture "A:or_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:or_inst"
1262 Info: Elaborating entity "exec_op" using architecture "A:xor_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:xor_inst"
1263 Info: Elaborating entity "exec_op" using architecture "A:shift_op" for hierarchy "execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst"
1264 Info: Elaborating entity "extension_gpm" for hierarchy "execute_stage:exec_st|extension_gpm:gpmp_inst"
1265 Info: Elaborating entity "writeback_stage" for hierarchy "writeback_stage:writeback_st"
1266 Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(14): object "data_ram_read_ext" assigned a value but never read
1267 Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(18): object "ext_timer" assigned a value but never read
1268 Warning (10036): Verilog HDL or VHDL warning at writeback_stage_b.vhd(18): object "ext_gpmp" assigned a value but never read
1269 Warning (10812): VHDL warning at writeback_stage_b.vhd(152): sensitivity list already contains wb_reg_nxt
1270 Info: Elaborating entity "extension_uart" for hierarchy "writeback_stage:writeback_st|extension_uart:uart"
1271 Info: Elaborating entity "rs232_tx" for hierarchy "writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst"
1272 Info: Inferred 2 megafunctions from design logic
1273     Info: Inferred altsyncram megafunction from the following design logic: "decode_stage:decode_st|r2_w_ram:register_ram|ram~37" 
1274         Info: Parameter OPERATION_MODE set to DUAL_PORT
1275         Info: Parameter WIDTH_A set to 32
1276         Info: Parameter WIDTHAD_A set to 4
1277         Info: Parameter NUMWORDS_A set to 16
1278         Info: Parameter WIDTH_B set to 32
1279         Info: Parameter WIDTHAD_B set to 4
1280         Info: Parameter NUMWORDS_B set to 16
1281         Info: Parameter ADDRESS_ACLR_A set to NONE
1282         Info: Parameter OUTDATA_REG_B set to UNREGISTERED
1283         Info: Parameter ADDRESS_ACLR_B set to NONE
1284         Info: Parameter OUTDATA_ACLR_B set to NONE
1285         Info: Parameter ADDRESS_REG_B set to CLOCK0
1286         Info: Parameter INDATA_ACLR_A set to NONE
1287         Info: Parameter WRCONTROL_ACLR_A set to NONE
1288         Info: Parameter INIT_FILE set to db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif
1289         Info: Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
1290     Info: Inferred altsyncram megafunction from the following design logic: "decode_stage:decode_st|r2_w_ram:register_ram|ram~38" 
1291         Info: Parameter OPERATION_MODE set to DUAL_PORT
1292         Info: Parameter WIDTH_A set to 32
1293         Info: Parameter WIDTHAD_A set to 4
1294         Info: Parameter NUMWORDS_A set to 16
1295         Info: Parameter WIDTH_B set to 32
1296         Info: Parameter WIDTHAD_B set to 4
1297         Info: Parameter NUMWORDS_B set to 16
1298         Info: Parameter ADDRESS_ACLR_A set to NONE
1299         Info: Parameter OUTDATA_REG_B set to UNREGISTERED
1300         Info: Parameter ADDRESS_ACLR_B set to NONE
1301         Info: Parameter OUTDATA_ACLR_B set to NONE
1302         Info: Parameter ADDRESS_REG_B set to CLOCK0
1303         Info: Parameter INDATA_ACLR_A set to NONE
1304         Info: Parameter WRCONTROL_ACLR_A set to NONE
1305         Info: Parameter INIT_FILE set to db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif
1306         Info: Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA
1307 Info: Elaborated megafunction instantiation "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0"
1308 Info: Instantiated megafunction "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0" with the following parameter:
1309     Info: Parameter "OPERATION_MODE" = "DUAL_PORT"
1310     Info: Parameter "WIDTH_A" = "32"
1311     Info: Parameter "WIDTHAD_A" = "4"
1312     Info: Parameter "NUMWORDS_A" = "16"
1313     Info: Parameter "WIDTH_B" = "32"
1314     Info: Parameter "WIDTHAD_B" = "4"
1315     Info: Parameter "NUMWORDS_B" = "16"
1316     Info: Parameter "ADDRESS_ACLR_A" = "NONE"
1317     Info: Parameter "OUTDATA_REG_B" = "UNREGISTERED"
1318     Info: Parameter "ADDRESS_ACLR_B" = "NONE"
1319     Info: Parameter "OUTDATA_ACLR_B" = "NONE"
1320     Info: Parameter "ADDRESS_REG_B" = "CLOCK0"
1321     Info: Parameter "INDATA_ACLR_A" = "NONE"
1322     Info: Parameter "WRCONTROL_ACLR_A" = "NONE"
1323     Info: Parameter "INIT_FILE" = "db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif"
1324     Info: Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA"
1325 Info: Found 1 design units, including 1 entities, in source file db/altsyncram_emk1.tdf
1326     Info: Found entity 1: altsyncram_emk1
1327 Info: Elaborated megafunction instantiation "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1"
1328 Info: Instantiated megafunction "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1" with the following parameter:
1329     Info: Parameter "OPERATION_MODE" = "DUAL_PORT"
1330     Info: Parameter "WIDTH_A" = "32"
1331     Info: Parameter "WIDTHAD_A" = "4"
1332     Info: Parameter "NUMWORDS_A" = "16"
1333     Info: Parameter "WIDTH_B" = "32"
1334     Info: Parameter "WIDTHAD_B" = "4"
1335     Info: Parameter "NUMWORDS_B" = "16"
1336     Info: Parameter "ADDRESS_ACLR_A" = "NONE"
1337     Info: Parameter "OUTDATA_REG_B" = "UNREGISTERED"
1338     Info: Parameter "ADDRESS_ACLR_B" = "NONE"
1339     Info: Parameter "OUTDATA_ACLR_B" = "NONE"
1340     Info: Parameter "ADDRESS_REG_B" = "CLOCK0"
1341     Info: Parameter "INDATA_ACLR_A" = "NONE"
1342     Info: Parameter "WRCONTROL_ACLR_A" = "NONE"
1343     Info: Parameter "INIT_FILE" = "db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif"
1344     Info: Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA"
1345 Info: 132 registers lost all their fanouts during netlist optimizations. The first 132 are displayed below.
1346     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][29]" lost all its fanouts during netlist optimizations.
1347     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][28]" lost all its fanouts during netlist optimizations.
1348     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][27]" lost all its fanouts during netlist optimizations.
1349     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][26]" lost all its fanouts during netlist optimizations.
1350     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][25]" lost all its fanouts during netlist optimizations.
1351     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][24]" lost all its fanouts during netlist optimizations.
1352     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][23]" lost all its fanouts during netlist optimizations.
1353     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][22]" lost all its fanouts during netlist optimizations.
1354     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][21]" lost all its fanouts during netlist optimizations.
1355     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][20]" lost all its fanouts during netlist optimizations.
1356     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][19]" lost all its fanouts during netlist optimizations.
1357     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][18]" lost all its fanouts during netlist optimizations.
1358     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][17]" lost all its fanouts during netlist optimizations.
1359     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][16]" lost all its fanouts during netlist optimizations.
1360     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][15]" lost all its fanouts during netlist optimizations.
1361     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][14]" lost all its fanouts during netlist optimizations.
1362     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][13]" lost all its fanouts during netlist optimizations.
1363     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][12]" lost all its fanouts during netlist optimizations.
1364     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][11]" lost all its fanouts during netlist optimizations.
1365     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][10]" lost all its fanouts during netlist optimizations.
1366     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][9]" lost all its fanouts during netlist optimizations.
1367     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][8]" lost all its fanouts during netlist optimizations.
1368     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][7]" lost all its fanouts during netlist optimizations.
1369     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][6]" lost all its fanouts during netlist optimizations.
1370     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][5]" lost all its fanouts during netlist optimizations.
1371     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][4]" lost all its fanouts during netlist optimizations.
1372     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][3]" lost all its fanouts during netlist optimizations.
1373     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][2]" lost all its fanouts during netlist optimizations.
1374     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][1]" lost all its fanouts during netlist optimizations.
1375     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[1][0]" lost all its fanouts during netlist optimizations.
1376     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][29]" lost all its fanouts during netlist optimizations.
1377     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][28]" lost all its fanouts during netlist optimizations.
1378     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][27]" lost all its fanouts during netlist optimizations.
1379     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][26]" lost all its fanouts during netlist optimizations.
1380     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][25]" lost all its fanouts during netlist optimizations.
1381     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][24]" lost all its fanouts during netlist optimizations.
1382     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][23]" lost all its fanouts during netlist optimizations.
1383     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][22]" lost all its fanouts during netlist optimizations.
1384     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][21]" lost all its fanouts during netlist optimizations.
1385     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][20]" lost all its fanouts during netlist optimizations.
1386     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][19]" lost all its fanouts during netlist optimizations.
1387     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][18]" lost all its fanouts during netlist optimizations.
1388     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][17]" lost all its fanouts during netlist optimizations.
1389     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][16]" lost all its fanouts during netlist optimizations.
1390     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][15]" lost all its fanouts during netlist optimizations.
1391     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][14]" lost all its fanouts during netlist optimizations.
1392     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][13]" lost all its fanouts during netlist optimizations.
1393     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][12]" lost all its fanouts during netlist optimizations.
1394     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][11]" lost all its fanouts during netlist optimizations.
1395     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][10]" lost all its fanouts during netlist optimizations.
1396     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][9]" lost all its fanouts during netlist optimizations.
1397     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][8]" lost all its fanouts during netlist optimizations.
1398     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][7]" lost all its fanouts during netlist optimizations.
1399     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][6]" lost all its fanouts during netlist optimizations.
1400     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][5]" lost all its fanouts during netlist optimizations.
1401     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][4]" lost all its fanouts during netlist optimizations.
1402     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][3]" lost all its fanouts during netlist optimizations.
1403     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][2]" lost all its fanouts during netlist optimizations.
1404     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][1]" lost all its fanouts during netlist optimizations.
1405     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[2][0]" lost all its fanouts during netlist optimizations.
1406     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][29]" lost all its fanouts during netlist optimizations.
1407     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][28]" lost all its fanouts during netlist optimizations.
1408     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][27]" lost all its fanouts during netlist optimizations.
1409     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][26]" lost all its fanouts during netlist optimizations.
1410     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][25]" lost all its fanouts during netlist optimizations.
1411     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][24]" lost all its fanouts during netlist optimizations.
1412     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][23]" lost all its fanouts during netlist optimizations.
1413     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][22]" lost all its fanouts during netlist optimizations.
1414     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][21]" lost all its fanouts during netlist optimizations.
1415     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][20]" lost all its fanouts during netlist optimizations.
1416     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][19]" lost all its fanouts during netlist optimizations.
1417     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][18]" lost all its fanouts during netlist optimizations.
1418     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][17]" lost all its fanouts during netlist optimizations.
1419     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][16]" lost all its fanouts during netlist optimizations.
1420     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][15]" lost all its fanouts during netlist optimizations.
1421     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][14]" lost all its fanouts during netlist optimizations.
1422     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][13]" lost all its fanouts during netlist optimizations.
1423     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][12]" lost all its fanouts during netlist optimizations.
1424     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][11]" lost all its fanouts during netlist optimizations.
1425     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][10]" lost all its fanouts during netlist optimizations.
1426     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][9]" lost all its fanouts during netlist optimizations.
1427     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][8]" lost all its fanouts during netlist optimizations.
1428     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][7]" lost all its fanouts during netlist optimizations.
1429     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][6]" lost all its fanouts during netlist optimizations.
1430     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][5]" lost all its fanouts during netlist optimizations.
1431     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][4]" lost all its fanouts during netlist optimizations.
1432     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][3]" lost all its fanouts during netlist optimizations.
1433     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][2]" lost all its fanouts during netlist optimizations.
1434     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][1]" lost all its fanouts during netlist optimizations.
1435     Info: Register "execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[3][0]" lost all its fanouts during netlist optimizations.
1436     Info: Register "decode_stage:decode_st|dec_op_inst.daddr[2]" lost all its fanouts during netlist optimizations.
1437     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[8]" lost all its fanouts during netlist optimizations.
1438     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[9]" lost all its fanouts during netlist optimizations.
1439     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[10]" lost all its fanouts during netlist optimizations.
1440     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[0]" lost all its fanouts during netlist optimizations.
1441     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[1]" lost all its fanouts during netlist optimizations.
1442     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[2]" lost all its fanouts during netlist optimizations.
1443     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[3]" lost all its fanouts during netlist optimizations.
1444     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[4]" lost all its fanouts during netlist optimizations.
1445     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[5]" lost all its fanouts during netlist optimizations.
1446     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[6]" lost all its fanouts during netlist optimizations.
1447     Info: Register "decode_stage:decode_st|dec_op_inst.prog_cnt[7]" lost all its fanouts during netlist optimizations.
1448     Info: Register "decode_stage:decode_st|dec_op_inst.condition[3]" lost all its fanouts during netlist optimizations.
1449     Info: Register "fetch_stage:fetch_st|r_w_ram:instruction_ram|data_out[31]" lost all its fanouts during netlist optimizations.
1450     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.ADDSUB_OP" lost all its fanouts during netlist optimizations.
1451     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.AND_OP" lost all its fanouts during netlist optimizations.
1452     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.OR_OP" lost all its fanouts during netlist optimizations.
1453     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.XOR_OP" lost all its fanouts during netlist optimizations.
1454     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.SHIFT_OP" lost all its fanouts during netlist optimizations.
1455     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.JMP_OP" lost all its fanouts during netlist optimizations.
1456     Info: Register "decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP" lost all its fanouts during netlist optimizations.
1457     Info: Register "fetch_stage:fetch_st|instr_r_addr[31]" lost all its fanouts during netlist optimizations.
1458     Info: Register "fetch_stage:fetch_st|instr_r_addr[30]" lost all its fanouts during netlist optimizations.
1459     Info: Register "fetch_stage:fetch_st|instr_r_addr[29]" lost all its fanouts during netlist optimizations.
1460     Info: Register "fetch_stage:fetch_st|instr_r_addr[28]" lost all its fanouts during netlist optimizations.
1461     Info: Register "fetch_stage:fetch_st|instr_r_addr[27]" lost all its fanouts during netlist optimizations.
1462     Info: Register "fetch_stage:fetch_st|instr_r_addr[26]" lost all its fanouts during netlist optimizations.
1463     Info: Register "fetch_stage:fetch_st|instr_r_addr[25]" lost all its fanouts during netlist optimizations.
1464     Info: Register "fetch_stage:fetch_st|instr_r_addr[24]" lost all its fanouts during netlist optimizations.
1465     Info: Register "fetch_stage:fetch_st|instr_r_addr[23]" lost all its fanouts during netlist optimizations.
1466     Info: Register "fetch_stage:fetch_st|instr_r_addr[22]" lost all its fanouts during netlist optimizations.
1467     Info: Register "fetch_stage:fetch_st|instr_r_addr[21]" lost all its fanouts during netlist optimizations.
1468     Info: Register "fetch_stage:fetch_st|instr_r_addr[20]" lost all its fanouts during netlist optimizations.
1469     Info: Register "fetch_stage:fetch_st|instr_r_addr[19]" lost all its fanouts during netlist optimizations.
1470     Info: Register "fetch_stage:fetch_st|instr_r_addr[18]" lost all its fanouts during netlist optimizations.
1471     Info: Register "fetch_stage:fetch_st|instr_r_addr[17]" lost all its fanouts during netlist optimizations.
1472     Info: Register "fetch_stage:fetch_st|instr_r_addr[16]" lost all its fanouts during netlist optimizations.
1473     Info: Register "fetch_stage:fetch_st|instr_r_addr[15]" lost all its fanouts during netlist optimizations.
1474     Info: Register "fetch_stage:fetch_st|instr_r_addr[14]" lost all its fanouts during netlist optimizations.
1475     Info: Register "fetch_stage:fetch_st|instr_r_addr[13]" lost all its fanouts during netlist optimizations.
1476     Info: Register "fetch_stage:fetch_st|instr_r_addr[12]" lost all its fanouts during netlist optimizations.
1477     Info: Register "fetch_stage:fetch_st|instr_r_addr[11]" lost all its fanouts during netlist optimizations.
1478 Info: Removed 1 MSB VCC or GND address nodes from RAM block "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM"
1479 Info: Removed 1 MSB VCC or GND address nodes from RAM block "decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_0|altsyncram_emk1:auto_generated|ALTSYNCRAM"
1480 Info: Generating hard_block partition "hard_block:auto_generated_inst"
1481 Info: Implemented 501 device resources after synthesis - the final resource count might be different
1482     Info: Implemented 1 input pins
1483     Info: Implemented 1 output pins
1484     Info: Implemented 435 logic cells
1485     Info: Implemented 64 RAM segments
1486 Info: Quartus II Analysis & Synthesis was successful. 0 errors, 12 warnings
1487     Info: Peak virtual memory: 267 megabytes
1488     Info: Processing ended: Thu Dec 16 16:54:44 2010
1489     Info: Elapsed time: 00:00:11
1490     Info: Total CPU time (on all processors): 00:00:10
1491
1492