6dd35f8ab5436c9ae046741855569acb8ac04ffb
[calu.git] / dt / dt.fit.rpt
1 Fitter report for dt
2 Sun Dec 19 20:36:44 2010
3 Quartus II Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
4
5
6 ---------------------
7 ; Table of Contents ;
8 ---------------------
9   1. Legal Notice
10   2. Fitter Summary
11   3. Fitter Settings
12   4. Parallel Compilation
13   5. Incremental Compilation Preservation Summary
14   6. Incremental Compilation Partition Settings
15   7. Incremental Compilation Placement Preservation
16   8. Pin-Out File
17   9. Fitter Resource Usage Summary
18  10. Fitter Partition Statistics
19  11. Input Pins
20  12. Output Pins
21  13. I/O Bank Usage
22  14. All Package Pins
23  15. Output Pin Default Load For Reported TCO
24  16. Fitter Resource Utilization by Entity
25  17. Delay Chain Summary
26  18. Pad To Core Delay Chain Fanout
27  19. Control Signals
28  20. Global & Other Fast Signals
29  21. Non-Global High Fan-Out Signals
30  22. Fitter RAM Summary
31  23. Interconnect Usage Summary
32  24. LAB Logic Elements
33  25. LAB-wide Signals
34  26. LAB Signals Sourced
35  27. LAB Signals Sourced Out
36  28. LAB Distinct Inputs
37  29. Fitter Device Options
38  30. Estimated Delay Added for Hold Timing Summary
39  31. Estimated Delay Added for Hold Timing Details
40  32. Fitter Messages
41
42
43
44 ----------------
45 ; Legal Notice ;
46 ----------------
47 Copyright (C) 1991-2010 Altera Corporation
48 Your use of Altera Corporation's design tools, logic functions 
49 and other software and tools, and its AMPP partner logic 
50 functions, and any output files from any of the foregoing 
51 (including device programming or simulation files), and any 
52 associated documentation or information are expressly subject 
53 to the terms and conditions of the Altera Program License 
54 Subscription Agreement, Altera MegaCore Function License 
55 Agreement, or other applicable license agreement, including, 
56 without limitation, that your use is for the sole purpose of 
57 programming logic devices manufactured by Altera and sold by 
58 Altera or its authorized distributors.  Please refer to the 
59 applicable agreement for further details.
60
61
62
63 +-----------------------------------------------------------------------+
64 ; Fitter Summary                                                        ;
65 +-----------------------+-----------------------------------------------+
66 ; Fitter Status         ; Successful - Sun Dec 19 20:36:44 2010         ;
67 ; Quartus II Version    ; 10.0 Build 262 08/18/2010 SP 1 SJ Web Edition ;
68 ; Revision Name         ; dt                                            ;
69 ; Top-level Entity Name ; core_top                                      ;
70 ; Family                ; Cyclone                                       ;
71 ; Device                ; EP1C12Q240C8                                  ;
72 ; Timing Models         ; Final                                         ;
73 ; Total logic elements  ; 1,646 / 12,060 ( 14 % )                       ;
74 ; Total pins            ; 32 / 173 ( 18 % )                             ;
75 ; Total virtual pins    ; 0                                             ;
76 ; Total memory bits     ; 66,560 / 239,616 ( 28 % )                     ;
77 ; Total PLLs            ; 0 / 2 ( 0 % )                                 ;
78 +-----------------------+-----------------------------------------------+
79
80
81 +----------------------------------------------------------------------------------------------------------------------------------------------+
82 ; Fitter Settings                                                                                                                              ;
83 +----------------------------------------------------------------------------+--------------------------------+--------------------------------+
84 ; Option                                                                     ; Setting                        ; Default Value                  ;
85 +----------------------------------------------------------------------------+--------------------------------+--------------------------------+
86 ; Device                                                                     ; EP1C12Q240C8                   ;                                ;
87 ; Fit Attempts to Skip                                                       ; 0                              ; 0.0                            ;
88 ; Device I/O Standard                                                        ; 3.3-V LVCMOS                   ;                                ;
89 ; Use smart compilation                                                      ; Off                            ; Off                            ;
90 ; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On                             ; On                             ;
91 ; Enable compact report table                                                ; Off                            ; Off                            ;
92 ; Use TimeQuest Timing Analyzer                                              ; Off                            ; Off                            ;
93 ; Router Timing Optimization Level                                           ; Normal                         ; Normal                         ;
94 ; Placement Effort Multiplier                                                ; 1.0                            ; 1.0                            ;
95 ; Router Effort Multiplier                                                   ; 1.0                            ; 1.0                            ;
96 ; Optimize Hold Timing                                                       ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ;
97 ; Optimize Multi-Corner Timing                                               ; Off                            ; Off                            ;
98 ; Optimize Timing                                                            ; Normal compilation             ; Normal compilation             ;
99 ; Optimize Timing for ECOs                                                   ; Off                            ; Off                            ;
100 ; Regenerate full fit report during ECO compiles                             ; Off                            ; Off                            ;
101 ; Optimize IOC Register Placement for Timing                                 ; Normal                         ; Normal                         ;
102 ; Limit to One Fitting Attempt                                               ; Off                            ; Off                            ;
103 ; Final Placement Optimizations                                              ; Automatically                  ; Automatically                  ;
104 ; Fitter Aggressive Routability Optimizations                                ; Automatically                  ; Automatically                  ;
105 ; Fitter Initial Placement Seed                                              ; 1                              ; 1                              ;
106 ; Slow Slew Rate                                                             ; Off                            ; Off                            ;
107 ; PCI I/O                                                                    ; Off                            ; Off                            ;
108 ; Weak Pull-Up Resistor                                                      ; Off                            ; Off                            ;
109 ; Enable Bus-Hold Circuitry                                                  ; Off                            ; Off                            ;
110 ; Auto Global Memory Control Signals                                         ; Off                            ; Off                            ;
111 ; Auto Packed Registers                                                      ; Auto                           ; Auto                           ;
112 ; Auto Delay Chains                                                          ; On                             ; On                             ;
113 ; Auto Merge PLLs                                                            ; On                             ; On                             ;
114 ; Perform Physical Synthesis for Combinational Logic for Performance         ; Off                            ; Off                            ;
115 ; Perform Register Duplication for Performance                               ; Off                            ; Off                            ;
116 ; Perform Register Retiming for Performance                                  ; Off                            ; Off                            ;
117 ; Perform Asynchronous Signal Pipelining                                     ; Off                            ; Off                            ;
118 ; Fitter Effort                                                              ; Auto Fit                       ; Auto Fit                       ;
119 ; Physical Synthesis Effort Level                                            ; Normal                         ; Normal                         ;
120 ; Logic Cell Insertion - Logic Duplication                                   ; Auto                           ; Auto                           ;
121 ; Auto Register Duplication                                                  ; Auto                           ; Auto                           ;
122 ; Auto Global Clock                                                          ; On                             ; On                             ;
123 ; Auto Global Register Control Signals                                       ; On                             ; On                             ;
124 ; Stop After Congestion Map Generation                                       ; Off                            ; Off                            ;
125 ; Force Fitter to Avoid Periphery Placement Warnings                         ; Off                            ; Off                            ;
126 +----------------------------------------------------------------------------+--------------------------------+--------------------------------+
127
128
129 Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
130 +-------------------------------------+
131 ; Parallel Compilation                ;
132 +----------------------------+--------+
133 ; Processors                 ; Number ;
134 +----------------------------+--------+
135 ; Number detected on machine ; 2      ;
136 ; Maximum allowed            ; 1      ;
137 +----------------------------+--------+
138
139
140 +----------------------------------------------+
141 ; Incremental Compilation Preservation Summary ;
142 +---------------------+------------------------+
143 ; Type                ; Value                  ;
144 +---------------------+------------------------+
145 ; Placement (by node) ;                        ;
146 ;     -- Requested    ; 0 / 1777 ( 0.00 % )    ;
147 ;     -- Achieved     ; 0 / 1777 ( 0.00 % )    ;
148 ;                     ;                        ;
149 ; Routing (by net)    ;                        ;
150 ;     -- Requested    ; 0 / 0 ( 0.00 % )       ;
151 ;     -- Achieved     ; 0 / 0 ( 0.00 % )       ;
152 +---------------------+------------------------+
153
154
155 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
156 ; Incremental Compilation Partition Settings                                                                                                                                             ;
157 +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
158 ; Partition Name                 ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents                       ;
159 +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
160 ; Top                            ; User-created   ; Source File       ; N/A                     ; Source File            ; N/A                          ;                                ;
161 ; hard_block:auto_generated_inst ; Auto-generated ; Source File       ; N/A                     ; Source File            ; N/A                          ; hard_block:auto_generated_inst ;
162 +--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+
163
164
165 +------------------------------------------------------------------------------------------------------------+
166 ; Incremental Compilation Placement Preservation                                                             ;
167 +--------------------------------+---------+-------------------+-------------------------+-------------------+
168 ; Partition Name                 ; # Nodes ; # Preserved Nodes ; Preservation Level Used ; Netlist Type Used ;
169 +--------------------------------+---------+-------------------+-------------------------+-------------------+
170 ; Top                            ; 1775    ; 0                 ; N/A                     ; Source File       ;
171 ; hard_block:auto_generated_inst ; 2       ; 0                 ; N/A                     ; Source File       ;
172 +--------------------------------+---------+-------------------+-------------------------+-------------------+
173
174
175 +--------------+
176 ; Pin-Out File ;
177 +--------------+
178 The pin-out file can be found in /home/stefan/processor/calu/dt/dt.pin.
179
180
181 +-----------------------------------------------------------------------------------------+
182 ; Fitter Resource Usage Summary                                                           ;
183 +---------------------------------------------+-------------------------------------------+
184 ; Resource                                    ; Usage                                     ;
185 +---------------------------------------------+-------------------------------------------+
186 ; Total logic elements                        ; 1,646 / 12,060 ( 14 % )                   ;
187 ;     -- Combinational with no register       ; 1126                                      ;
188 ;     -- Register only                        ; 26                                        ;
189 ;     -- Combinational with a register        ; 494                                       ;
190 ;                                             ;                                           ;
191 ; Logic element usage by number of LUT inputs ;                                           ;
192 ;     -- 4 input functions                    ; 827                                       ;
193 ;     -- 3 input functions                    ; 474                                       ;
194 ;     -- 2 input functions                    ; 292                                       ;
195 ;     -- 1 input functions                    ; 27                                        ;
196 ;     -- 0 input functions                    ; 0                                         ;
197 ;                                             ;                                           ;
198 ; Logic elements by mode                      ;                                           ;
199 ;     -- normal mode                          ; 1470                                      ;
200 ;     -- arithmetic mode                      ; 176                                       ;
201 ;     -- qfbk mode                            ; 179                                       ;
202 ;     -- register cascade mode                ; 0                                         ;
203 ;     -- synchronous clear/load mode          ; 246                                       ;
204 ;     -- asynchronous clear/load mode         ; 492                                       ;
205 ;                                             ;                                           ;
206 ; Total registers                             ; 520 / 12,567 ( 4 % )                      ;
207 ; Total LABs                                  ; 174 / 1,206 ( 14 % )                      ;
208 ; Logic elements in carry chains              ; 184                                       ;
209 ; User inserted logic elements                ; 0                                         ;
210 ; Virtual pins                                ; 0                                         ;
211 ; I/O pins                                    ; 32 / 173 ( 18 % )                         ;
212 ;     -- Clock pins                           ; 1 / 2 ( 50 % )                            ;
213 ; Global signals                              ; 2                                         ;
214 ; M4Ks                                        ; 18 / 52 ( 35 % )                          ;
215 ; Total memory bits                           ; 66,560 / 239,616 ( 28 % )                 ;
216 ; Total RAM block bits                        ; 82,944 / 239,616 ( 35 % )                 ;
217 ; PLLs                                        ; 0 / 2 ( 0 % )                             ;
218 ; Global clocks                               ; 2 / 8 ( 25 % )                            ;
219 ; JTAGs                                       ; 0 / 1 ( 0 % )                             ;
220 ; ASMI Blocks                                 ; 0 / 1 ( 0 % )                             ;
221 ; CRC blocks                                  ; 0 / 1 ( 0 % )                             ;
222 ; Average interconnect usage (total/H/V)      ; 12% / 12% / 11%                           ;
223 ; Peak interconnect usage (total/H/V)         ; 42% / 44% / 40%                           ;
224 ; Maximum fan-out node                        ; sys_clk                                   ;
225 ; Maximum fan-out                             ; 538                                       ;
226 ; Highest non-global fan-out signal           ; execute_stage:exec_st|right_operand[0]~19 ;
227 ; Highest non-global fan-out                  ; 104                                       ;
228 ; Total fan-out                               ; 7140                                      ;
229 ; Average fan-out                             ; 4.20                                      ;
230 +---------------------------------------------+-------------------------------------------+
231
232
233 +---------------------------------------------------------------------------------------------------+
234 ; Fitter Partition Statistics                                                                       ;
235 +---------------------------------------------+--------------------+--------------------------------+
236 ; Statistic                                   ; Top                ; hard_block:auto_generated_inst ;
237 +---------------------------------------------+--------------------+--------------------------------+
238 ; Difficulty Clustering Region                ; Low                ; Low                            ;
239 ;                                             ;                    ;                                ;
240 ; Total logic elements                        ; 1646               ; 0                              ;
241 ;     -- Combinational with no register       ; 1126               ; 0                              ;
242 ;     -- Register only                        ; 26                 ; 0                              ;
243 ;     -- Combinational with a register        ; 494                ; 0                              ;
244 ;                                             ;                    ;                                ;
245 ; Logic element usage by number of LUT inputs ;                    ;                                ;
246 ;     -- 4 input functions                    ; 0                  ; 0                              ;
247 ;     -- 3 input functions                    ; 0                  ; 0                              ;
248 ;     -- 2 input functions                    ; 0                  ; 0                              ;
249 ;     -- 1 input functions                    ; 0                  ; 0                              ;
250 ;     -- 0 input functions                    ; 0                  ; 0                              ;
251 ;                                             ;                    ;                                ;
252 ; Logic elements by mode                      ;                    ;                                ;
253 ;     -- normal mode                          ; 0                  ; 0                              ;
254 ;     -- arithmetic mode                      ; 0                  ; 0                              ;
255 ;     -- qfbk mode                            ; 0                  ; 0                              ;
256 ;     -- register cascade mode                ; 0                  ; 0                              ;
257 ;     -- synchronous clear/load mode          ; 0                  ; 0                              ;
258 ;     -- asynchronous clear/load mode         ; 0                  ; 0                              ;
259 ;                                             ;                    ;                                ;
260 ; Total registers                             ; 520 / 6030 ( 8 % ) ; 0 / 6030 ( 0 % )               ;
261 ; Virtual pins                                ; 0                  ; 0                              ;
262 ; I/O pins                                    ; 32                 ; 0                              ;
263 ; DSP block 9-bit elements                    ; 0                  ; 0                              ;
264 ; Total memory bits                           ; 66560              ; 0                              ;
265 ; Total RAM block bits                        ; 82944              ; 0                              ;
266 ; M4K                                         ; 18 / 52 ( 34 % )   ; 0 / 52 ( 0 % )                 ;
267 ;                                             ;                    ;                                ;
268 ; Connections                                 ;                    ;                                ;
269 ;     -- Input Connections                    ; 0                  ; 0                              ;
270 ;     -- Registered Input Connections         ; 0                  ; 0                              ;
271 ;     -- Output Connections                   ; 0                  ; 0                              ;
272 ;     -- Registered Output Connections        ; 0                  ; 0                              ;
273 ;                                             ;                    ;                                ;
274 ; Internal Connections                        ;                    ;                                ;
275 ;     -- Total Connections                    ; 7455               ; 0                              ;
276 ;     -- Registered Connections               ; 1762               ; 0                              ;
277 ;                                             ;                    ;                                ;
278 ; External Connections                        ;                    ;                                ;
279 ;     -- Top                                  ; 0                  ; 0                              ;
280 ;     -- hard_block:auto_generated_inst       ; 0                  ; 0                              ;
281 ;                                             ;                    ;                                ;
282 ; Partition Interface                         ;                    ;                                ;
283 ;     -- Input Ports                          ; 3                  ; 0                              ;
284 ;     -- Output Ports                         ; 29                 ; 0                              ;
285 ;     -- Bidir Ports                          ; 0                  ; 0                              ;
286 ;                                             ;                    ;                                ;
287 ; Registered Ports                            ;                    ;                                ;
288 ;     -- Registered Input Ports               ; 0                  ; 0                              ;
289 ;     -- Registered Output Ports              ; 0                  ; 0                              ;
290 ;                                             ;                    ;                                ;
291 ; Port Connectivity                           ;                    ;                                ;
292 ;     -- Input Ports driven by GND            ; 0                  ; 0                              ;
293 ;     -- Output Ports driven by GND           ; 0                  ; 0                              ;
294 ;     -- Input Ports driven by VCC            ; 0                  ; 0                              ;
295 ;     -- Output Ports driven by VCC           ; 0                  ; 0                              ;
296 ;     -- Input Ports with no Source           ; 0                  ; 0                              ;
297 ;     -- Output Ports with no Source          ; 0                  ; 0                              ;
298 ;     -- Input Ports with no Fanout           ; 0                  ; 0                              ;
299 ;     -- Output Ports with no Fanout          ; 0                  ; 0                              ;
300 +---------------------------------------------+--------------------+--------------------------------+
301
302
303 +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
304 ; Input Pins                                                                                                                                                                                                                                                    ;
305 +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
306 ; Name    ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Location assigned by ;
307 +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
308 ; bus_rx  ; 17    ; 1        ; 0            ; 21           ; 0           ; 1                     ; 0                  ; no     ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVCMOS ; Off         ; Fitter               ;
309 ; sys_clk ; 152   ; 3        ; 53           ; 15           ; 2           ; 538                   ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVCMOS ; Off         ; User                 ;
310 ; sys_res ; 42    ; 1        ; 0            ; 6            ; 0           ; 504                   ; 0                  ; yes    ; no             ; no            ; no              ; no       ; Off          ; 3.3-V LVCMOS ; Off         ; User                 ;
311 +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+-------------+----------------------+
312
313
314 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
315 ; Output Pins                                                                                                                                                                                                                                                                                                                                              ;
316 +----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+----------------------+---------------------+
317 ; Name     ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Output Enable Register ; Power Up High ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Location assigned by ; Load  ; Output Enable Source ; Output Enable Group ;
318 +----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+----------------------+---------------------+
319 ; bus_tx   ; 166   ; 3        ; 53           ; 22           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; User                 ; 10 pF ; -                    ; -                   ;
320 ; sseg0[0] ; 83    ; 4        ; 14           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
321 ; sseg0[1] ; 86    ; 4        ; 16           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
322 ; sseg0[2] ; 144   ; 3        ; 53           ; 12           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
323 ; sseg0[3] ; 39    ; 1        ; 0            ; 11           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
324 ; sseg0[4] ; 213   ; 2        ; 18           ; 27           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
325 ; sseg0[5] ; 88    ; 4        ; 18           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
326 ; sseg0[6] ; 214   ; 2        ; 16           ; 27           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
327 ; sseg1[0] ; 18    ; 1        ; 0            ; 21           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
328 ; sseg1[1] ; 93    ; 4        ; 26           ; 0            ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
329 ; sseg1[2] ; 94    ; 4        ; 28           ; 0            ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
330 ; sseg1[3] ; 162   ; 3        ; 53           ; 21           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
331 ; sseg1[4] ; 207   ; 2        ; 28           ; 27           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
332 ; sseg1[5] ; 206   ; 2        ; 28           ; 27           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
333 ; sseg1[6] ; 95    ; 4        ; 28           ; 0            ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
334 ; sseg2[0] ; 21    ; 1        ; 0            ; 20           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
335 ; sseg2[1] ; 201   ; 2        ; 32           ; 27           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
336 ; sseg2[2] ; 161   ; 3        ; 53           ; 20           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
337 ; sseg2[3] ; 202   ; 2        ; 32           ; 27           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
338 ; sseg2[4] ; 20    ; 1        ; 0            ; 20           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
339 ; sseg2[5] ; 200   ; 2        ; 32           ; 27           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
340 ; sseg2[6] ; 160   ; 3        ; 53           ; 20           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
341 ; sseg3[0] ; 87    ; 4        ; 16           ; 0            ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
342 ; sseg3[1] ; 84    ; 4        ; 14           ; 0            ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
343 ; sseg3[2] ; 38    ; 1        ; 0            ; 11           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
344 ; sseg3[3] ; 23    ; 1        ; 0            ; 16           ; 0           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
345 ; sseg3[4] ; 85    ; 4        ; 16           ; 0            ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
346 ; sseg3[5] ; 215   ; 2        ; 16           ; 27           ; 1           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
347 ; sseg3[6] ; 216   ; 2        ; 16           ; 27           ; 2           ; no              ; no                     ; no            ; no             ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 12mA             ; Off         ; Fitter               ; 10 pF ; -                    ; -                   ;
348 +----------+-------+----------+--------------+--------------+-------------+-----------------+------------------------+---------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+----------------------+-------+----------------------+---------------------+
349
350
351 +------------------------------------------------------------+
352 ; I/O Bank Usage                                             ;
353 +----------+------------------+---------------+--------------+
354 ; I/O Bank ; Usage            ; VCCIO Voltage ; VREF Voltage ;
355 +----------+------------------+---------------+--------------+
356 ; 1        ; 10 / 44 ( 23 % ) ; 3.3V          ; --           ;
357 ; 2        ; 9 / 42 ( 21 % )  ; 3.3V          ; --           ;
358 ; 3        ; 6 / 45 ( 13 % )  ; 3.3V          ; --           ;
359 ; 4        ; 9 / 42 ( 21 % )  ; 3.3V          ; --           ;
360 +----------+------------------+---------------+--------------+
361
362
363 +------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
364 ; All Package Pins                                                                                                                                                       ;
365 +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
366 ; Location ; Pad Number ; I/O Bank ; Pin Name/Usage                           ; Dir.   ; I/O Standard ; Voltage ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
367 +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
368 ; 1        ; 0          ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
369 ; 2        ; 1          ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
370 ; 3        ; 2          ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
371 ; 4        ; 3          ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
372 ; 5        ; 4          ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
373 ; 6        ; 5          ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
374 ; 7        ; 6          ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
375 ; 8        ; 7          ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
376 ; 9        ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
377 ; 10       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
378 ; 11       ; 8          ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
379 ; 12       ; 9          ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
380 ; 13       ; 10         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
381 ; 14       ; 11         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
382 ; 15       ; 12         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
383 ; 16       ; 13         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
384 ; 17       ; 14         ; 1        ; bus_rx                                   ; input  ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
385 ; 18       ; 15         ; 1        ; sseg1[0]                                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
386 ; 19       ; 16         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
387 ; 20       ; 17         ; 1        ; sseg2[4]                                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
388 ; 21       ; 18         ; 1        ; sseg2[0]                                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
389 ; 22       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
390 ; 23       ; 28         ; 1        ; sseg3[3]                                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
391 ; 24       ; 29         ; 1        ; ~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; On           ;
392 ; 25       ; 30         ; 1        ; ^DATA0                                   ; input  ;              ;         ; --         ;                 ; --       ; --           ;
393 ; 26       ; 31         ; 1        ; ^nCONFIG                                 ;        ;              ;         ; --         ;                 ; --       ; --           ;
394 ; 27       ;            ;          ; VCCA_PLL1                                ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
395 ; 28       ; 32         ; 1        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
396 ; 29       ; 33         ; 1        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
397 ; 30       ;            ;          ; GNDA_PLL1                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
398 ; 31       ;            ;          ; GNDG_PLL1                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
399 ; 32       ; 34         ; 1        ; ^nCEO                                    ;        ;              ;         ; --         ;                 ; --       ; --           ;
400 ; 33       ; 35         ; 1        ; ^nCE                                     ;        ;              ;         ; --         ;                 ; --       ; --           ;
401 ; 34       ; 36         ; 1        ; ^MSEL0                                   ;        ;              ;         ; --         ;                 ; --       ; --           ;
402 ; 35       ; 37         ; 1        ; ^MSEL1                                   ;        ;              ;         ; --         ;                 ; --       ; --           ;
403 ; 36       ; 38         ; 1        ; ^DCLK                                    ; bidir  ;              ;         ; --         ;                 ; --       ; --           ;
404 ; 37       ; 39         ; 1        ; ~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP ; input  ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; On           ;
405 ; 38       ; 40         ; 1        ; sseg3[2]                                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
406 ; 39       ; 41         ; 1        ; sseg0[3]                                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
407 ; 40       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
408 ; 41       ; 52         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
409 ; 42       ; 53         ; 1        ; sys_res                                  ; input  ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
410 ; 43       ; 54         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
411 ; 44       ; 55         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
412 ; 45       ; 56         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
413 ; 46       ; 57         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
414 ; 47       ; 58         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
415 ; 48       ; 59         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
416 ; 49       ; 60         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
417 ; 50       ; 61         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
418 ; 51       ;            ; 1        ; VCCIO1                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
419 ; 52       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
420 ; 53       ; 62         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
421 ; 54       ; 63         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
422 ; 55       ; 64         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
423 ; 56       ; 65         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
424 ; 57       ; 66         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
425 ; 58       ; 67         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
426 ; 59       ; 68         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
427 ; 60       ; 69         ; 1        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
428 ; 61       ; 70         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
429 ; 62       ; 71         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
430 ; 63       ; 72         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
431 ; 64       ; 73         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
432 ; 65       ; 74         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
433 ; 66       ; 75         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
434 ; 67       ; 76         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
435 ; 68       ; 77         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
436 ; 69       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
437 ; 70       ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
438 ; 71       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
439 ; 72       ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
440 ; 73       ; 78         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
441 ; 74       ; 79         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
442 ; 75       ; 80         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
443 ; 76       ; 81         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
444 ; 77       ; 82         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
445 ; 78       ; 83         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
446 ; 79       ; 84         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
447 ; 80       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
448 ; 81       ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
449 ; 82       ; 86         ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
450 ; 83       ; 87         ; 4        ; sseg0[0]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
451 ; 84       ; 88         ; 4        ; sseg3[1]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
452 ; 85       ; 89         ; 4        ; sseg3[4]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
453 ; 86       ; 90         ; 4        ; sseg0[1]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
454 ; 87       ; 91         ; 4        ; sseg3[0]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
455 ; 88       ; 92         ; 4        ; sseg0[5]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
456 ; 89       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
457 ; 90       ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
458 ; 91       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
459 ; 92       ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
460 ; 93       ; 100        ; 4        ; sseg1[1]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
461 ; 94       ; 103        ; 4        ; sseg1[2]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
462 ; 95       ; 104        ; 4        ; sseg1[6]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
463 ; 96       ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
464 ; 97       ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
465 ; 98       ; 106        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
466 ; 99       ; 107        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
467 ; 100      ; 108        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
468 ; 101      ; 109        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
469 ; 102      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
470 ; 103      ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
471 ; 104      ; 118        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
472 ; 105      ; 119        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
473 ; 106      ; 120        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
474 ; 107      ; 121        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
475 ; 108      ; 122        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
476 ; 109      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
477 ; 110      ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
478 ; 111      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
479 ; 112      ;            ; 4        ; VCCIO4                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
480 ; 113      ; 123        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
481 ; 114      ; 124        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
482 ; 115      ; 125        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
483 ; 116      ; 126        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
484 ; 117      ; 127        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
485 ; 118      ; 128        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
486 ; 119      ; 129        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
487 ; 120      ; 130        ; 4        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
488 ; 121      ; 131        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
489 ; 122      ; 132        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
490 ; 123      ; 133        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
491 ; 124      ; 134        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
492 ; 125      ; 135        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
493 ; 126      ; 136        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
494 ; 127      ; 137        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
495 ; 128      ; 138        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
496 ; 129      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
497 ; 130      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
498 ; 131      ; 139        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
499 ; 132      ; 140        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
500 ; 133      ; 141        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
501 ; 134      ; 142        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
502 ; 135      ; 143        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
503 ; 136      ; 144        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
504 ; 137      ; 145        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
505 ; 138      ; 146        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
506 ; 139      ; 147        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
507 ; 140      ; 148        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
508 ; 141      ; 149        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
509 ; 142      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
510 ; 143      ; 160        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
511 ; 144      ; 161        ; 3        ; sseg0[2]                                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
512 ; 145      ; 162        ; 3        ; ^CONF_DONE                               ;        ;              ;         ; --         ;                 ; --       ; --           ;
513 ; 146      ; 163        ; 3        ; ^nSTATUS                                 ;        ;              ;         ; --         ;                 ; --       ; --           ;
514 ; 147      ; 164        ; 3        ; #TCK                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
515 ; 148      ; 165        ; 3        ; #TMS                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
516 ; 149      ; 166        ; 3        ; #TDO                                     ; output ;              ;         ; --         ;                 ; --       ; --           ;
517 ; 150      ;            ;          ; GNDG_PLL2                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
518 ; 151      ;            ;          ; GNDA_PLL2                                ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
519 ; 152      ; 167        ; 3        ; sys_clk                                  ; input  ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
520 ; 153      ; 168        ; 3        ; GND+                                     ;        ;              ;         ; Row I/O    ;                 ; --       ; --           ;
521 ; 154      ;            ;          ; VCCA_PLL2                                ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
522 ; 155      ; 169        ; 3        ; #TDI                                     ; input  ;              ;         ; --         ;                 ; --       ; --           ;
523 ; 156      ; 170        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
524 ; 157      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
525 ; 158      ; 180        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
526 ; 159      ; 181        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
527 ; 160      ; 182        ; 3        ; sseg2[6]                                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
528 ; 161      ; 183        ; 3        ; sseg2[2]                                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
529 ; 162      ; 184        ; 3        ; sseg1[3]                                 ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; N               ; no       ; Off          ;
530 ; 163      ; 185        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
531 ; 164      ; 186        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
532 ; 165      ; 187        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
533 ; 166      ; 188        ; 3        ; bus_tx                                   ; output ; 3.3-V LVCMOS ;         ; Row I/O    ; Y               ; no       ; Off          ;
534 ; 167      ; 189        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
535 ; 168      ; 190        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
536 ; 169      ; 191        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
537 ; 170      ; 192        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
538 ; 171      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
539 ; 172      ;            ; 3        ; VCCIO3                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
540 ; 173      ; 193        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
541 ; 174      ; 194        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
542 ; 175      ; 195        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
543 ; 176      ; 196        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
544 ; 177      ; 197        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
545 ; 178      ; 198        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
546 ; 179      ; 199        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
547 ; 180      ; 200        ; 3        ; RESERVED_INPUT                           ;        ;              ;         ; Row I/O    ;                 ; no       ; Off          ;
548 ; 181      ; 201        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
549 ; 182      ; 202        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
550 ; 183      ; 203        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
551 ; 184      ; 204        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
552 ; 185      ; 205        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
553 ; 186      ; 206        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
554 ; 187      ; 207        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
555 ; 188      ; 208        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
556 ; 189      ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
557 ; 190      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
558 ; 191      ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
559 ; 192      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
560 ; 193      ; 209        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
561 ; 194      ; 210        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
562 ; 195      ; 211        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
563 ; 196      ; 212        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
564 ; 197      ; 213        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
565 ; 198      ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
566 ; 199      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
567 ; 200      ; 222        ; 2        ; sseg2[5]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
568 ; 201      ; 223        ; 2        ; sseg2[1]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
569 ; 202      ; 224        ; 2        ; sseg2[3]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
570 ; 203      ; 225        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
571 ; 204      ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
572 ; 205      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
573 ; 206      ; 227        ; 2        ; sseg1[5]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
574 ; 207      ; 228        ; 2        ; sseg1[4]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
575 ; 208      ; 231        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
576 ; 209      ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
577 ; 210      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
578 ; 211      ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
579 ; 212      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
580 ; 213      ; 239        ; 2        ; sseg0[4]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
581 ; 214      ; 240        ; 2        ; sseg0[6]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
582 ; 215      ; 241        ; 2        ; sseg3[5]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
583 ; 216      ; 242        ; 2        ; sseg3[6]                                 ; output ; 3.3-V LVCMOS ;         ; Column I/O ; N               ; no       ; Off          ;
584 ; 217      ; 243        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
585 ; 218      ; 244        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
586 ; 219      ; 245        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
587 ; 220      ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
588 ; 221      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
589 ; 222      ; 247        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
590 ; 223      ; 248        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
591 ; 224      ; 249        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
592 ; 225      ; 250        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
593 ; 226      ; 251        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
594 ; 227      ; 252        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
595 ; 228      ; 253        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
596 ; 229      ;            ;          ; VCCINT                                   ; power  ;              ; 1.5V    ; --         ;                 ; --       ; --           ;
597 ; 230      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
598 ; 231      ;            ; 2        ; VCCIO2                                   ; power  ;              ; 3.3V    ; --         ;                 ; --       ; --           ;
599 ; 232      ;            ;          ; GND                                      ; gnd    ;              ;         ; --         ;                 ; --       ; --           ;
600 ; 233      ; 254        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
601 ; 234      ; 255        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
602 ; 235      ; 256        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
603 ; 236      ; 257        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
604 ; 237      ; 258        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
605 ; 238      ; 259        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
606 ; 239      ; 260        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
607 ; 240      ; 261        ; 2        ; RESERVED_INPUT                           ;        ;              ;         ; Column I/O ;                 ; no       ; Off          ;
608 +----------+------------+----------+------------------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+
609 Note: Pin directions (input, output or bidir) are based on device operating in user mode.
610
611
612 +------------------------------------------------------------------+
613 ; Output Pin Default Load For Reported TCO                         ;
614 +---------------------+-------+------------------------------------+
615 ; I/O Standard        ; Load  ; Termination Resistance             ;
616 +---------------------+-------+------------------------------------+
617 ; 3.3-V LVTTL         ; 10 pF ; Not Available                      ;
618 ; 3.3-V LVCMOS        ; 10 pF ; Not Available                      ;
619 ; 2.5 V               ; 10 pF ; Not Available                      ;
620 ; 1.8 V               ; 10 pF ; Not Available                      ;
621 ; 1.5 V               ; 10 pF ; Not Available                      ;
622 ; SSTL-3 Class I      ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
623 ; SSTL-3 Class II     ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
624 ; SSTL-2 Class I      ; 30 pF ; 50 Ohm (Parallel), 25 Ohm (Serial) ;
625 ; SSTL-2 Class II     ; 30 pF ; 25 Ohm (Parallel), 25 Ohm (Serial) ;
626 ; Differential SSTL-2 ; 10 pF ; (See SSTL-2)                       ;
627 ; 3.3-V PCI           ; 10 pF ; 25 Ohm (Parallel)                  ;
628 ; LVDS                ; 4 pF  ; 100 Ohm (Differential)             ;
629 ; RSDS                ; 10 pF ; 100 Ohm (Differential)             ;
630 +---------------------+-------+------------------------------------+
631 Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables.
632
633
634 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
635 ; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                  ;
636 +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------------------------+--------------+
637 ; Compilation Hierarchy Node                   ; Logic Cells ; LC Registers ; Memory Bits ; M4Ks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                                                                                         ; Library Name ;
638 +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------------------------+--------------+
639 ; |core_top                                    ; 1646 (0)    ; 520          ; 66560       ; 18   ; 32   ; 0            ; 1126 (0)     ; 26 (0)            ; 494 (0)          ; 184 (0)         ; 179 (0)    ; |core_top                                                                                                   ;              ;
640 ;    |decode_stage:decode_st|                  ; 212 (150)   ; 106          ; 1024        ; 2    ; 0    ; 0            ; 106 (44)     ; 0 (0)             ; 106 (106)        ; 11 (11)         ; 3 (3)      ; |core_top|decode_stage:decode_st                                                                            ;              ;
641 ;       |decoder:decoder_inst|                 ; 62 (62)     ; 0            ; 0           ; 0    ; 0    ; 0            ; 62 (62)      ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|decoder:decoder_inst                                                       ;              ;
642 ;       |r2_w_ram:register_ram|                ; 0 (0)       ; 0            ; 1024        ; 2    ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram                                                      ;              ;
643 ;          |altsyncram:ram_rtl_1|              ; 0 (0)       ; 0            ; 512         ; 1    ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1                                 ;              ;
644 ;             |altsyncram_emk1:auto_generated| ; 0 (0)       ; 0            ; 512         ; 1    ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated  ;              ;
645 ;          |altsyncram:ram_rtl_2|              ; 0 (0)       ; 0            ; 512         ; 1    ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_2                                 ;              ;
646 ;             |altsyncram_emk1:auto_generated| ; 0 (0)       ; 0            ; 512         ; 1    ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_2|altsyncram_emk1:auto_generated  ;              ;
647 ;    |execute_stage:exec_st|                   ; 836 (137)   ; 71           ; 0           ; 0    ; 0    ; 0            ; 765 (98)     ; 1 (1)             ; 70 (38)          ; 108 (0)         ; 68 (38)    ; |core_top|execute_stage:exec_st                                                                             ;              ;
648 ;       |alu:alu_inst|                         ; 637 (324)   ; 0            ; 0           ; 0    ; 0    ; 0            ; 637 (324)    ; 0 (0)             ; 0 (0)            ; 78 (44)         ; 30 (27)    ; |core_top|execute_stage:exec_st|alu:alu_inst                                                                ;              ;
649 ;          |exec_op:add_inst|                  ; 67 (67)     ; 0            ; 0           ; 0    ; 0    ; 0            ; 67 (67)      ; 0 (0)             ; 0 (0)            ; 34 (34)         ; 0 (0)      ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:add_inst                                               ;              ;
650 ;          |exec_op:shift_inst|                ; 246 (246)   ; 0            ; 0           ; 0    ; 0    ; 0            ; 246 (246)    ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 3 (3)      ; |core_top|execute_stage:exec_st|alu:alu_inst|exec_op:shift_inst                                             ;              ;
651 ;       |extension_gpm:gpmp_inst|              ; 62 (62)     ; 32           ; 0           ; 0    ; 0    ; 0            ; 30 (30)      ; 0 (0)             ; 32 (32)          ; 30 (30)         ; 0 (0)      ; |core_top|execute_stage:exec_st|extension_gpm:gpmp_inst                                                     ;              ;
652 ;    |fetch_stage:fetch_st|                    ; 44 (23)     ; 29           ; 0           ; 0    ; 0    ; 0            ; 15 (12)      ; 0 (0)             ; 29 (11)          ; 0 (0)           ; 0 (0)      ; |core_top|fetch_stage:fetch_st                                                                              ;              ;
653 ;       |rom:instruction_ram|                  ; 21 (21)     ; 18           ; 0           ; 0    ; 0    ; 0            ; 3 (3)        ; 0 (0)             ; 18 (18)          ; 0 (0)           ; 0 (0)      ; |core_top|fetch_stage:fetch_st|rom:instruction_ram                                                          ;              ;
654 ;    |writeback_stage:writeback_st|            ; 554 (141)   ; 314          ; 65536       ; 16   ; 0    ; 0            ; 240 (77)     ; 25 (0)            ; 289 (64)         ; 65 (0)          ; 108 (106)  ; |core_top|writeback_stage:writeback_st                                                                      ;              ;
655 ;       |extension_7seg:sseg|                  ; 47 (47)     ; 47           ; 0           ; 0    ; 0    ; 0            ; 0 (0)        ; 17 (17)           ; 30 (30)          ; 0 (0)           ; 1 (1)      ; |core_top|writeback_stage:writeback_st|extension_7seg:sseg                                                  ;              ;
656 ;       |extension_uart:uart|                  ; 366 (144)   ; 203          ; 0           ; 0    ; 0    ; 0            ; 163 (38)     ; 8 (0)             ; 195 (106)        ; 65 (0)          ; 1 (0)      ; |core_top|writeback_stage:writeback_st|extension_uart:uart                                                  ;              ;
657 ;          |rs232_rx:rs232_rx_inst|            ; 158 (158)   ; 73           ; 0           ; 0    ; 0    ; 0            ; 85 (85)      ; 8 (8)             ; 65 (65)          ; 48 (48)         ; 1 (1)      ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst                           ;              ;
658 ;          |rs232_tx:rs232_tx_inst|            ; 64 (64)     ; 24           ; 0           ; 0    ; 0    ; 0            ; 40 (40)      ; 0 (0)             ; 24 (24)          ; 17 (17)         ; 0 (0)      ; |core_top|writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst                           ;              ;
659 ;       |r_w_ram:data_ram|                     ; 0 (0)       ; 0            ; 65536       ; 16   ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram                                                     ;              ;
660 ;          |altsyncram:ram_rtl_0|              ; 0 (0)       ; 0            ; 65536       ; 16   ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0                                ;              ;
661 ;             |altsyncram_grk1:auto_generated| ; 0 (0)       ; 0            ; 65536       ; 16   ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |core_top|writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated ;              ;
662 +----------------------------------------------+-------------+--------------+-------------+------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------------------------+--------------+
663 Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
664
665
666 +-----------------------------------------------------------------------------------+
667 ; Delay Chain Summary                                                               ;
668 +----------+----------+---------------+---------------+-----------------------+-----+
669 ; Name     ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ;
670 +----------+----------+---------------+---------------+-----------------------+-----+
671 ; bus_tx   ; Output   ; --            ; --            ; --                    ; --  ;
672 ; sseg0[6] ; Output   ; --            ; --            ; --                    ; --  ;
673 ; sseg0[5] ; Output   ; --            ; --            ; --                    ; --  ;
674 ; sseg0[4] ; Output   ; --            ; --            ; --                    ; --  ;
675 ; sseg0[3] ; Output   ; --            ; --            ; --                    ; --  ;
676 ; sseg0[2] ; Output   ; --            ; --            ; --                    ; --  ;
677 ; sseg0[1] ; Output   ; --            ; --            ; --                    ; --  ;
678 ; sseg0[0] ; Output   ; --            ; --            ; --                    ; --  ;
679 ; sseg1[6] ; Output   ; --            ; --            ; --                    ; --  ;
680 ; sseg1[5] ; Output   ; --            ; --            ; --                    ; --  ;
681 ; sseg1[4] ; Output   ; --            ; --            ; --                    ; --  ;
682 ; sseg1[3] ; Output   ; --            ; --            ; --                    ; --  ;
683 ; sseg1[2] ; Output   ; --            ; --            ; --                    ; --  ;
684 ; sseg1[1] ; Output   ; --            ; --            ; --                    ; --  ;
685 ; sseg1[0] ; Output   ; --            ; --            ; --                    ; --  ;
686 ; sseg2[6] ; Output   ; --            ; --            ; --                    ; --  ;
687 ; sseg2[5] ; Output   ; --            ; --            ; --                    ; --  ;
688 ; sseg2[4] ; Output   ; --            ; --            ; --                    ; --  ;
689 ; sseg2[3] ; Output   ; --            ; --            ; --                    ; --  ;
690 ; sseg2[2] ; Output   ; --            ; --            ; --                    ; --  ;
691 ; sseg2[1] ; Output   ; --            ; --            ; --                    ; --  ;
692 ; sseg2[0] ; Output   ; --            ; --            ; --                    ; --  ;
693 ; sseg3[6] ; Output   ; --            ; --            ; --                    ; --  ;
694 ; sseg3[5] ; Output   ; --            ; --            ; --                    ; --  ;
695 ; sseg3[4] ; Output   ; --            ; --            ; --                    ; --  ;
696 ; sseg3[3] ; Output   ; --            ; --            ; --                    ; --  ;
697 ; sseg3[2] ; Output   ; --            ; --            ; --                    ; --  ;
698 ; sseg3[1] ; Output   ; --            ; --            ; --                    ; --  ;
699 ; sseg3[0] ; Output   ; --            ; --            ; --                    ; --  ;
700 ; sys_clk  ; Input    ; OFF           ; OFF           ; --                    ; --  ;
701 ; sys_res  ; Input    ; OFF           ; ON            ; --                    ; --  ;
702 ; bus_rx   ; Input    ; ON            ; ON            ; --                    ; --  ;
703 +----------+----------+---------------+---------------+-----------------------+-----+
704
705
706 +---------------------------------------------------------------------------------------------------------------------------------+
707 ; Pad To Core Delay Chain Fanout                                                                                                  ;
708 +---------------------------------------------------------------------------------------------------+-------------------+---------+
709 ; Source Pin / Fanout                                                                               ; Pad To Core Index ; Setting ;
710 +---------------------------------------------------------------------------------------------------+-------------------+---------+
711 ; sys_clk                                                                                           ;                   ;         ;
712 ; sys_res                                                                                           ;                   ;         ;
713 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[0]             ; 0                 ; OFF     ;
714 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[1]             ; 0                 ; OFF     ;
715 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[2]             ; 0                 ; OFF     ;
716 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|cnt[3]             ; 0                 ; OFF     ;
717 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[6]                         ; 0                 ; OFF     ;
718 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[5]                         ; 0                 ; OFF     ;
719 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[4]                         ; 0                 ; OFF     ;
720 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[3]                         ; 0                 ; OFF     ;
721 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[2]                         ; 0                 ; OFF     ;
722 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[1]                         ; 0                 ; OFF     ;
723 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit3[0]                         ; 0                 ; OFF     ;
724 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[6]                         ; 0                 ; OFF     ;
725 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[5]                         ; 0                 ; OFF     ;
726 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[4]                         ; 0                 ; OFF     ;
727 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[3]                         ; 0                 ; OFF     ;
728 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[2]                         ; 0                 ; OFF     ;
729 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[1]                         ; 0                 ; OFF     ;
730 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit2[0]                         ; 0                 ; OFF     ;
731 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[6]                         ; 0                 ; OFF     ;
732 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[5]                         ; 0                 ; OFF     ;
733 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[4]                         ; 0                 ; OFF     ;
734 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[3]                         ; 0                 ; OFF     ;
735 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[2]                         ; 0                 ; OFF     ;
736 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[1]                         ; 0                 ; OFF     ;
737 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit1[0]                         ; 0                 ; OFF     ;
738 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[6]                         ; 0                 ; OFF     ;
739 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[5]                         ; 0                 ; OFF     ;
740 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[4]                         ; 0                 ; OFF     ;
741 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[3]                         ; 0                 ; OFF     ;
742 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[2]                         ; 0                 ; OFF     ;
743 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[1]                         ; 0                 ; OFF     ;
744 ;      - writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[0]                         ; 0                 ; OFF     ;
745 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[0]        ; 0                 ; OFF     ;
746 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[1]        ; 0                 ; OFF     ;
747 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[2]        ; 0                 ; OFF     ;
748 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[3]        ; 0                 ; OFF     ;
749 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[4]        ; 0                 ; OFF     ;
750 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[5]        ; 0                 ; OFF     ;
751 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[6]        ; 0                 ; OFF     ;
752 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[7]        ; 0                 ; OFF     ;
753 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[8]        ; 0                 ; OFF     ;
754 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[9]        ; 0                 ; OFF     ;
755 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[10]       ; 0                 ; OFF     ;
756 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[11]       ; 0                 ; OFF     ;
757 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[12]       ; 0                 ; OFF     ;
758 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[13]       ; 0                 ; OFF     ;
759 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[14]       ; 0                 ; OFF     ;
760 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[15]       ; 0                 ; OFF     ;
761 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[0]             ; 0                 ; OFF     ;
762 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[1]             ; 0                 ; OFF     ;
763 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[2]             ; 0                 ; OFF     ;
764 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[3]             ; 0                 ; OFF     ;
765 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[4]             ; 0                 ; OFF     ;
766 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[5]             ; 0                 ; OFF     ;
767 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[6]             ; 0                 ; OFF     ;
768 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[7]             ; 0                 ; OFF     ;
769 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[8]             ; 0                 ; OFF     ;
770 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[9]             ; 0                 ; OFF     ;
771 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[10]            ; 0                 ; OFF     ;
772 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[11]            ; 0                 ; OFF     ;
773 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[12]            ; 0                 ; OFF     ;
774 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[13]            ; 0                 ; OFF     ;
775 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[14]            ; 0                 ; OFF     ;
776 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[15]            ; 0                 ; OFF     ;
777 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[16]            ; 0                 ; OFF     ;
778 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[17]            ; 0                 ; OFF     ;
779 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[18]            ; 0                 ; OFF     ;
780 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[19]            ; 0                 ; OFF     ;
781 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[20]            ; 0                 ; OFF     ;
782 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[21]            ; 0                 ; OFF     ;
783 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[22]            ; 0                 ; OFF     ;
784 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[23]            ; 0                 ; OFF     ;
785 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[24]            ; 0                 ; OFF     ;
786 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[25]            ; 0                 ; OFF     ;
787 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[26]            ; 0                 ; OFF     ;
788 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[27]            ; 0                 ; OFF     ;
789 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[28]            ; 0                 ; OFF     ;
790 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[29]            ; 0                 ; OFF     ;
791 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[30]            ; 0                 ; OFF     ;
792 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|cnt[31]            ; 0                 ; OFF     ;
793 ;      - decode_stage:decode_st|rtw_rec.immediate[17]                                               ; 0                 ; OFF     ;
794 ;      - decode_stage:decode_st|rtw_rec.immediate[18]                                               ; 0                 ; OFF     ;
795 ;      - decode_stage:decode_st|rtw_rec.immediate[19]                                               ; 0                 ; OFF     ;
796 ;      - decode_stage:decode_st|rtw_rec.immediate[20]                                               ; 0                 ; OFF     ;
797 ;      - decode_stage:decode_st|rtw_rec.immediate[22]                                               ; 0                 ; OFF     ;
798 ;      - decode_stage:decode_st|rtw_rec.immediate[28]                                               ; 0                 ; OFF     ;
799 ;      - decode_stage:decode_st|rtw_rec.immediate[29]                                               ; 0                 ; OFF     ;
800 ;      - decode_stage:decode_st|rtw_rec.immediate[30]                                               ; 0                 ; OFF     ;
801 ;      - decode_stage:decode_st|rtw_rec.immediate[11]                                               ; 0                 ; OFF     ;
802 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int         ; 0                 ; OFF     ;
803 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|state              ; 0                 ; OFF     ;
804 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[1]                         ; 0                 ; OFF     ;
805 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[1]        ; 0                 ; OFF     ;
806 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[0]        ; 0                 ; OFF     ;
807 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[3]        ; 0                 ; OFF     ;
808 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[2]        ; 0                 ; OFF     ;
809 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[4]                         ; 0                 ; OFF     ;
810 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[5]                         ; 0                 ; OFF     ;
811 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[5]        ; 0                 ; OFF     ;
812 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[4]        ; 0                 ; OFF     ;
813 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[7]                         ; 0                 ; OFF     ;
814 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[7]        ; 0                 ; OFF     ;
815 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[6]        ; 0                 ; OFF     ;
816 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[8]                         ; 0                 ; OFF     ;
817 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[9]        ; 0                 ; OFF     ;
818 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[8]        ; 0                 ; OFF     ;
819 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[11]       ; 0                 ; OFF     ;
820 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[10]       ; 0                 ; OFF     ;
821 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[13]       ; 0                 ; OFF     ;
822 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[12]       ; 0                 ; OFF     ;
823 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[15]       ; 0                 ; OFF     ;
824 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[14]       ; 0                 ; OFF     ;
825 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|baud_cnt[16]       ; 0                 ; OFF     ;
826 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[0]                         ; 0                 ; OFF     ;
827 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[1]                         ; 0                 ; OFF     ;
828 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[2]                         ; 0                 ; OFF     ;
829 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[3]                         ; 0                 ; OFF     ;
830 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.sel                             ; 0                 ; OFF     ;
831 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.byte_en[1]                      ; 0                 ; OFF     ;
832 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[4]                         ; 0                 ; OFF     ;
833 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[5]                         ; 0                 ; OFF     ;
834 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[6]                         ; 0                 ; OFF     ;
835 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[7]                         ; 0                 ; OFF     ;
836 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[8]                         ; 0                 ; OFF     ;
837 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[9]                         ; 0                 ; OFF     ;
838 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[10]                        ; 0                 ; OFF     ;
839 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[11]                        ; 0                 ; OFF     ;
840 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[12]                        ; 0                 ; OFF     ;
841 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[13]                        ; 0                 ; OFF     ;
842 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[14]                        ; 0                 ; OFF     ;
843 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.data[15]                        ; 0                 ; OFF     ;
844 ;      - writeback_stage:writeback_st|wb_reg.dmem_en                                                ; 0                 ; OFF     ;
845 ;      - writeback_stage:writeback_st|wb_reg.address[31]                                            ; 0                 ; OFF     ;
846 ;      - writeback_stage:writeback_st|wb_reg.address[30]                                            ; 0                 ; OFF     ;
847 ;      - writeback_stage:writeback_st|wb_reg.address[29]                                            ; 0                 ; OFF     ;
848 ;      - writeback_stage:writeback_st|wb_reg.address[28]                                            ; 0                 ; OFF     ;
849 ;      - writeback_stage:writeback_st|wb_reg.address[27]                                            ; 0                 ; OFF     ;
850 ;      - writeback_stage:writeback_st|wb_reg.address[26]                                            ; 0                 ; OFF     ;
851 ;      - writeback_stage:writeback_st|wb_reg.address[25]                                            ; 0                 ; OFF     ;
852 ;      - writeback_stage:writeback_st|wb_reg.address[24]                                            ; 0                 ; OFF     ;
853 ;      - writeback_stage:writeback_st|wb_reg.address[23]                                            ; 0                 ; OFF     ;
854 ;      - writeback_stage:writeback_st|wb_reg.address[22]                                            ; 0                 ; OFF     ;
855 ;      - writeback_stage:writeback_st|wb_reg.address[21]                                            ; 0                 ; OFF     ;
856 ;      - writeback_stage:writeback_st|wb_reg.address[20]                                            ; 0                 ; OFF     ;
857 ;      - writeback_stage:writeback_st|wb_reg.address[19]                                            ; 0                 ; OFF     ;
858 ;      - writeback_stage:writeback_st|wb_reg.address[18]                                            ; 0                 ; OFF     ;
859 ;      - writeback_stage:writeback_st|wb_reg.address[17]                                            ; 0                 ; OFF     ;
860 ;      - writeback_stage:writeback_st|wb_reg.address[16]                                            ; 0                 ; OFF     ;
861 ;      - writeback_stage:writeback_st|wb_reg.address[15]                                            ; 0                 ; OFF     ;
862 ;      - writeback_stage:writeback_st|wb_reg.address[14]                                            ; 0                 ; OFF     ;
863 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|idle_sig           ; 0                 ; OFF     ;
864 ;      - decode_stage:decode_st|dec_op_inst.condition[0]                                            ; 0                 ; OFF     ;
865 ;      - decode_stage:decode_st|dec_op_inst.condition[3]                                            ; 0                 ; OFF     ;
866 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero                              ; 0                 ; OFF     ;
867 ;      - execute_stage:exec_st|reg.alu_jump                                                         ; 0                 ; OFF     ;
868 ;      - execute_stage:exec_st|reg.brpr                                                             ; 0                 ; OFF     ;
869 ;      - execute_stage:exec_st|alu:alu_inst|\calc:cond_met~1                                        ; 1                 ; ON      ;
870 ;      - decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP                                      ; 0                 ; OFF     ;
871 ;      - decode_stage:decode_st|dec_op_inst.op_group.LDST_OP                                        ; 0                 ; OFF     ;
872 ;      - execute_stage:exec_st|reg.res_addr[2]                                                      ; 0                 ; OFF     ;
873 ;      - execute_stage:exec_st|reg.wr_en                                                            ; 0                 ; OFF     ;
874 ;      - decode_stage:decode_st|rtw_rec.immediate[6]                                                ; 0                 ; OFF     ;
875 ;      - decode_stage:decode_st|rtw_rec.rtw_reg2                                                    ; 0                 ; OFF     ;
876 ;      - execute_stage:exec_st|reg.result[6]                                                        ; 0                 ; OFF     ;
877 ;      - decode_stage:decode_st|dec_op_inst.op_detail[3]                                            ; 0                 ; OFF     ;
878 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][1]                               ; 0                 ; OFF     ;
879 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][10]                              ; 0                 ; OFF     ;
880 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][9]                               ; 0                 ; OFF     ;
881 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][8]                               ; 0                 ; OFF     ;
882 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][7]                               ; 0                 ; OFF     ;
883 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][6]                               ; 0                 ; OFF     ;
884 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][5]                               ; 0                 ; OFF     ;
885 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][4]                               ; 0                 ; OFF     ;
886 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][3]                               ; 0                 ; OFF     ;
887 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][2]                               ; 0                 ; OFF     ;
888 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][0]                               ; 0                 ; OFF     ;
889 ;      - decode_stage:decode_st|rtw_rec.immediate[5]                                                ; 0                 ; OFF     ;
890 ;      - execute_stage:exec_st|reg.result[5]                                                        ; 0                 ; OFF     ;
891 ;      - execute_stage:exec_st|reg.result[7]                                                        ; 0                 ; OFF     ;
892 ;      - decode_stage:decode_st|rtw_rec.immediate[4]                                                ; 0                 ; OFF     ;
893 ;      - execute_stage:exec_st|reg.result[4]                                                        ; 0                 ; OFF     ;
894 ;      - decode_stage:decode_st|rtw_rec.immediate[2]                                                ; 0                 ; OFF     ;
895 ;      - execute_stage:exec_st|reg.result[2]                                                        ; 0                 ; OFF     ;
896 ;      - decode_stage:decode_st|rtw_rec.immediate[1]                                                ; 0                 ; OFF     ;
897 ;      - execute_stage:exec_st|reg.result[1]                                                        ; 0                 ; OFF     ;
898 ;      - decode_stage:decode_st|rtw_rec.immediate[3]                                                ; 0                 ; OFF     ;
899 ;      - execute_stage:exec_st|reg.result[3]                                                        ; 0                 ; OFF     ;
900 ;      - decode_stage:decode_st|rtw_rec.immediate[0]                                                ; 0                 ; OFF     ;
901 ;      - execute_stage:exec_st|reg.result[0]                                                        ; 0                 ; OFF     ;
902 ;      - decode_stage:decode_st|rtw_rec.immediate[8]                                                ; 0                 ; OFF     ;
903 ;      - execute_stage:exec_st|reg.result[8]                                                        ; 0                 ; OFF     ;
904 ;      - decode_stage:decode_st|rtw_rec.immediate[9]                                                ; 0                 ; OFF     ;
905 ;      - execute_stage:exec_st|reg.result[9]                                                        ; 0                 ; OFF     ;
906 ;      - decode_stage:decode_st|rtw_rec.immediate[10]                                               ; 0                 ; OFF     ;
907 ;      - execute_stage:exec_st|reg.result[10]                                                       ; 0                 ; OFF     ;
908 ;      - execute_stage:exec_st|reg.result[11]                                                       ; 0                 ; OFF     ;
909 ;      - decode_stage:decode_st|rtw_rec.immediate[12]                                               ; 0                 ; OFF     ;
910 ;      - execute_stage:exec_st|reg.result[12]                                                       ; 0                 ; OFF     ;
911 ;      - decode_stage:decode_st|rtw_rec.immediate[13]                                               ; 0                 ; OFF     ;
912 ;      - execute_stage:exec_st|reg.result[13]                                                       ; 0                 ; OFF     ;
913 ;      - decode_stage:decode_st|rtw_rec.immediate[14]                                               ; 0                 ; OFF     ;
914 ;      - execute_stage:exec_st|reg.result[14]                                                       ; 0                 ; OFF     ;
915 ;      - decode_stage:decode_st|rtw_rec.immediate[15]                                               ; 0                 ; OFF     ;
916 ;      - execute_stage:exec_st|reg.result[15]                                                       ; 0                 ; OFF     ;
917 ;      - decode_stage:decode_st|dec_op_inst.op_group.AND_OP                                         ; 0                 ; OFF     ;
918 ;      - decode_stage:decode_st|rtw_rec.rtw_reg1                                                    ; 0                 ; OFF     ;
919 ;      - decode_stage:decode_st|dec_op_inst.op_group.JMP_OP                                         ; 0                 ; OFF     ;
920 ;      - decode_stage:decode_st|dec_op_inst.op_group.OR_OP                                          ; 0                 ; OFF     ;
921 ;      - decode_stage:decode_st|dec_op_inst.op_group.XOR_OP                                         ; 0                 ; OFF     ;
922 ;      - decode_stage:decode_st|dec_op_inst.op_group.SHIFT_OP                                       ; 0                 ; OFF     ;
923 ;      - execute_stage:exec_st|reg.result[19]                                                       ; 0                 ; OFF     ;
924 ;      - execute_stage:exec_st|reg.result[18]                                                       ; 0                 ; OFF     ;
925 ;      - execute_stage:exec_st|reg.result[20]                                                       ; 0                 ; OFF     ;
926 ;      - execute_stage:exec_st|reg.result[17]                                                       ; 0                 ; OFF     ;
927 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[17]                              ; 0                 ; OFF     ;
928 ;      - execute_stage:exec_st|reg.result[21]                                                       ; 0                 ; OFF     ;
929 ;      - execute_stage:exec_st|reg.result[23]                                                       ; 0                 ; OFF     ;
930 ;      - execute_stage:exec_st|reg.result[24]                                                       ; 0                 ; OFF     ;
931 ;      - execute_stage:exec_st|reg.result[22]                                                       ; 0                 ; OFF     ;
932 ;      - decode_stage:decode_st|dec_op_inst.op_detail[2]                                            ; 0                 ; OFF     ;
933 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.carry                             ; 0                 ; OFF     ;
934 ;      - decode_stage:decode_st|dec_op_inst.op_detail[1]                                            ; 0                 ; OFF     ;
935 ;      - execute_stage:exec_st|reg.result[16]                                                       ; 0                 ; OFF     ;
936 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[16]                              ; 0                 ; OFF     ;
937 ;      - decode_stage:decode_st|dec_op_inst.op_group.ADDSUB_OP                                      ; 0                 ; OFF     ;
938 ;      - execute_stage:exec_st|reg.result[27]                                                       ; 0                 ; OFF     ;
939 ;      - execute_stage:exec_st|reg.result[29]                                                       ; 0                 ; OFF     ;
940 ;      - execute_stage:exec_st|reg.result[28]                                                       ; 0                 ; OFF     ;
941 ;      - execute_stage:exec_st|reg.result[30]                                                       ; 0                 ; OFF     ;
942 ;      - execute_stage:exec_st|reg.result[31]                                                       ; 0                 ; OFF     ;
943 ;      - execute_stage:exec_st|reg.result[25]                                                       ; 0                 ; OFF     ;
944 ;      - execute_stage:exec_st|reg.result[26]                                                       ; 0                 ; OFF     ;
945 ;      - decode_stage:decode_st|rtw_rec.immediate[21]                                               ; 0                 ; OFF     ;
946 ;      - decode_stage:decode_st|rtw_rec.immediate[31]                                               ; 0                 ; OFF     ;
947 ;      - decode_stage:decode_st|dec_op_inst.op_detail[4]                                            ; 0                 ; OFF     ;
948 ;      - decode_stage:decode_st|dec_op_inst.daddr[0]                                                ; 0                 ; OFF     ;
949 ;      - decode_stage:decode_st|dec_op_inst.daddr[2]                                                ; 0                 ; OFF     ;
950 ;      - decode_stage:decode_st|dec_op_inst.daddr[3]                                                ; 0                 ; OFF     ;
951 ;      - decode_stage:decode_st|dec_op_inst.daddr[1]                                                ; 0                 ; OFF     ;
952 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_res_int[6] ; 0                 ; OFF     ;
953 ;      - decode_stage:decode_st|dec_op_inst.displacement[4]                                         ; 0                 ; OFF     ;
954 ;      - decode_stage:decode_st|dec_op_inst.displacement[31]                                        ; 0                 ; OFF     ;
955 ;      - decode_stage:decode_st|dec_op_inst.displacement[9]                                         ; 0                 ; OFF     ;
956 ;      - decode_stage:decode_st|dec_op_inst.displacement[7]                                         ; 0                 ; OFF     ;
957 ;      - decode_stage:decode_st|dec_op_inst.displacement[6]                                         ; 0                 ; OFF     ;
958 ;      - decode_stage:decode_st|dec_op_inst.displacement[5]                                         ; 0                 ; OFF     ;
959 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_res_int[5] ; 0                 ; OFF     ;
960 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_res_int[7] ; 0                 ; OFF     ;
961 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_res_int[4] ; 0                 ; OFF     ;
962 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_res_int[2] ; 0                 ; OFF     ;
963 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_res_int[1] ; 0                 ; OFF     ;
964 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_res_int[3] ; 0                 ; OFF     ;
965 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_res_int[0] ; 0                 ; OFF     ;
966 ;      - fetch_stage:fetch_st|instr_r_addr_nxt[0]~1                                                 ; 1                 ; ON      ;
967 ;      - writeback_stage:writeback_st|extension_uart:uart|tx_rdy_int                                ; 0                 ; OFF     ;
968 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.POST_STOP    ; 0                 ; OFF     ;
969 ;      - decode_stage:decode_st|dec_op_inst.displacement[1]                                         ; 0                 ; OFF     ;
970 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_BIT     ; 0                 ; OFF     ;
971 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_START   ; 0                 ; OFF     ;
972 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[6]~0   ; 1                 ; ON      ;
973 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_STOP    ; 0                 ; OFF     ;
974 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.IDLE         ; 0                 ; OFF     ;
975 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[5]~2   ; 1                 ; ON      ;
976 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[7]~4   ; 1                 ; ON      ;
977 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[4]~6   ; 1                 ; ON      ;
978 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[2]~8   ; 1                 ; ON      ;
979 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[1]~10  ; 1                 ; ON      ;
980 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[3]~12  ; 1                 ; ON      ;
981 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[0]~14  ; 1                 ; ON      ;
982 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|bus_rx_int         ; 1                 ; ON      ;
983 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|sync[1]            ; 0                 ; OFF     ;
984 ;      - decode_stage:decode_st|dec_op_inst.saddr2[2]                                               ; 0                 ; OFF     ;
985 ;      - decode_stage:decode_st|dec_op_inst.saddr2[0]                                               ; 0                 ; OFF     ;
986 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[4]                               ; 0                 ; OFF     ;
987 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[6]                               ; 0                 ; OFF     ;
988 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[1]                               ; 0                 ; OFF     ;
989 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[3]                               ; 0                 ; OFF     ;
990 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[5]                               ; 0                 ; OFF     ;
991 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[2]                               ; 0                 ; OFF     ;
992 ;      - writeback_stage:writeback_st|extension_uart:uart|w4_uart_receive[4]                        ; 0                 ; OFF     ;
993 ;      - decode_stage:decode_st|dec_op_inst.saddr2[1]                                               ; 0                 ; OFF     ;
994 ;      - writeback_stage:writeback_st|extension_uart:uart|w4_uart_receive[6]                        ; 0                 ; OFF     ;
995 ;      - writeback_stage:writeback_st|extension_uart:uart|w4_uart_receive[1]                        ; 0                 ; OFF     ;
996 ;      - writeback_stage:writeback_st|extension_uart:uart|w4_uart_receive[3]                        ; 0                 ; OFF     ;
997 ;      - writeback_stage:writeback_st|extension_uart:uart|w4_uart_receive[0]                        ; 0                 ; OFF     ;
998 ;      - decode_stage:decode_st|dec_op_inst.saddr1[0]                                               ; 0                 ; OFF     ;
999 ;      - writeback_stage:writeback_st|extension_uart:uart|w4_uart_receive[5]                        ; 0                 ; OFF     ;
1000 ;      - writeback_stage:writeback_st|extension_uart:uart|w4_uart_receive[2]                        ; 0                 ; OFF     ;
1001 ;      - decode_stage:decode_st|dec_op_inst.saddr1[2]                                               ; 0                 ; OFF     ;
1002 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[7]                               ; 0                 ; OFF     ;
1003 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[19]                        ; 0                 ; OFF     ;
1004 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[18]                        ; 0                 ; OFF     ;
1005 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[16]                        ; 0                 ; OFF     ;
1006 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[17]                        ; 0                 ; OFF     ;
1007 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[20]                        ; 0                 ; OFF     ;
1008 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[14]                              ; 0                 ; OFF     ;
1009 ;      - writeback_stage:writeback_st|extension_uart:uart|w4_uart_receive[7]                        ; 0                 ; OFF     ;
1010 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[19]                              ; 0                 ; OFF     ;
1011 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[18]                              ; 0                 ; OFF     ;
1012 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[29]                        ; 0                 ; OFF     ;
1013 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[13]                              ; 0                 ; OFF     ;
1014 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[9]                               ; 0                 ; OFF     ;
1015 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[28]                        ; 0                 ; OFF     ;
1016 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[8]                               ; 0                 ; OFF     ;
1017 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[31]                        ; 0                 ; OFF     ;
1018 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[20]                              ; 0                 ; OFF     ;
1019 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[12]                              ; 0                 ; OFF     ;
1020 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[21]                        ; 0                 ; OFF     ;
1021 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[23]                        ; 0                 ; OFF     ;
1022 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[22]                        ; 0                 ; OFF     ;
1023 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[27]                        ; 0                 ; OFF     ;
1024 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[29]                              ; 0                 ; OFF     ;
1025 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[26]                        ; 0                 ; OFF     ;
1026 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[14]                          ; 0                 ; OFF     ;
1027 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[10]                              ; 0                 ; OFF     ;
1028 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[28]                              ; 0                 ; OFF     ;
1029 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[25]                        ; 0                 ; OFF     ;
1030 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[11]                              ; 0                 ; OFF     ;
1031 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[19]                          ; 0                 ; OFF     ;
1032 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[18]                          ; 0                 ; OFF     ;
1033 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[31]                              ; 0                 ; OFF     ;
1034 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[30]                        ; 0                 ; OFF     ;
1035 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[24]                        ; 0                 ; OFF     ;
1036 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[13]                          ; 0                 ; OFF     ;
1037 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[16]                          ; 0                 ; OFF     ;
1038 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[9]                           ; 0                 ; OFF     ;
1039 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[8]                           ; 0                 ; OFF     ;
1040 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[21]                              ; 0                 ; OFF     ;
1041 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[17]                          ; 0                 ; OFF     ;
1042 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[15]                              ; 0                 ; OFF     ;
1043 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[20]                          ; 0                 ; OFF     ;
1044 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[23]                              ; 0                 ; OFF     ;
1045 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[22]                              ; 0                 ; OFF     ;
1046 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[27]                              ; 0                 ; OFF     ;
1047 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[12]                          ; 0                 ; OFF     ;
1048 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[26]                              ; 0                 ; OFF     ;
1049 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[25]                              ; 0                 ; OFF     ;
1050 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[29]                          ; 0                 ; OFF     ;
1051 ;      - writeback_stage:writeback_st|wb_reg.address[13]                                            ; 0                 ; OFF     ;
1052 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[30]                              ; 0                 ; OFF     ;
1053 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[24]                              ; 0                 ; OFF     ;
1054 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[10]                          ; 0                 ; OFF     ;
1055 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[28]                          ; 0                 ; OFF     ;
1056 ;      - writeback_stage:writeback_st|wb_reg.address[11]                                            ; 0                 ; OFF     ;
1057 ;      - writeback_stage:writeback_st|wb_reg.address[4]                                             ; 0                 ; OFF     ;
1058 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[11]                          ; 0                 ; OFF     ;
1059 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[31]                          ; 0                 ; OFF     ;
1060 ;      - writeback_stage:writeback_st|wb_reg.address[7]                                             ; 0                 ; OFF     ;
1061 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[21]                          ; 0                 ; OFF     ;
1062 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[15]                          ; 0                 ; OFF     ;
1063 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[23]                          ; 0                 ; OFF     ;
1064 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[22]                          ; 0                 ; OFF     ;
1065 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[27]                          ; 0                 ; OFF     ;
1066 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[26]                          ; 0                 ; OFF     ;
1067 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[25]                          ; 0                 ; OFF     ;
1068 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[30]                          ; 0                 ; OFF     ;
1069 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[24]                          ; 0                 ; OFF     ;
1070 ;      - writeback_stage:writeback_st|wb_reg.address[12]                                            ; 0                 ; OFF     ;
1071 ;      - writeback_stage:writeback_st|wb_reg.address[8]                                             ; 0                 ; OFF     ;
1072 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[4]                           ; 0                 ; OFF     ;
1073 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[6]                           ; 0                 ; OFF     ;
1074 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[1]                           ; 0                 ; OFF     ;
1075 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[3]                           ; 0                 ; OFF     ;
1076 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0]                           ; 0                 ; OFF     ;
1077 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[5]                           ; 0                 ; OFF     ;
1078 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[2]                           ; 0                 ; OFF     ;
1079 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[0]                         ; 0                 ; OFF     ;
1080 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[3]                         ; 0                 ; OFF     ;
1081 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[6]                         ; 0                 ; OFF     ;
1082 ;      - writeback_stage:writeback_st|wb_reg.dmem_write_en                                          ; 0                 ; OFF     ;
1083 ;      - writeback_stage:writeback_st|wb_reg.address[2]                                             ; 0                 ; OFF     ;
1084 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[2]                         ; 0                 ; OFF     ;
1085 ;      - writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]                               ; 0                 ; OFF     ;
1086 ;      - writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[7]                           ; 0                 ; OFF     ;
1087 ;      - writeback_stage:writeback_st|wb_reg.address[3]                                             ; 0                 ; OFF     ;
1088 ;      - writeback_stage:writeback_st|wb_reg.address[10]                                            ; 0                 ; OFF     ;
1089 ;      - writeback_stage:writeback_st|wb_reg.address[9]                                             ; 0                 ; OFF     ;
1090 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[14]                        ; 0                 ; OFF     ;
1091 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[13]                        ; 0                 ; OFF     ;
1092 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[9]                         ; 0                 ; OFF     ;
1093 ;      - decode_stage:decode_st|rtw_rec.imm_set                                                     ; 0                 ; OFF     ;
1094 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[4]                                                  ; 0                 ; OFF     ;
1095 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[6]                                                  ; 0                 ; OFF     ;
1096 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[3]                                                  ; 0                 ; OFF     ;
1097 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[0]                                                  ; 0                 ; OFF     ;
1098 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[12]                        ; 0                 ; OFF     ;
1099 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[5]                                                  ; 0                 ; OFF     ;
1100 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[2]                                                  ; 0                 ; OFF     ;
1101 ;      - writeback_stage:writeback_st|wb_reg.address[6]                                             ; 0                 ; OFF     ;
1102 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[1]                                                  ; 0                 ; OFF     ;
1103 ;      - writeback_stage:writeback_st|wb_reg.address[5]                                             ; 0                 ; OFF     ;
1104 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[10]                        ; 0                 ; OFF     ;
1105 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[11]                        ; 0                 ; OFF     ;
1106 ;      - writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[15]                        ; 0                 ; OFF     ;
1107 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[7]                                                  ; 0                 ; OFF     ;
1108 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[14]                                                 ; 0                 ; OFF     ;
1109 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[19]                                                 ; 0                 ; OFF     ;
1110 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[18]                                                 ; 0                 ; OFF     ;
1111 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[13]                                                 ; 0                 ; OFF     ;
1112 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[16]                                                 ; 0                 ; OFF     ;
1113 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[9]                                                  ; 0                 ; OFF     ;
1114 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[8]                                                  ; 0                 ; OFF     ;
1115 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[17]                                                 ; 0                 ; OFF     ;
1116 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[20]                                                 ; 0                 ; OFF     ;
1117 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[4]                                             ; 0                 ; OFF     ;
1118 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[12]                                                 ; 0                 ; OFF     ;
1119 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[6]                                             ; 0                 ; OFF     ;
1120 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[3]                                             ; 0                 ; OFF     ;
1121 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[0]                                             ; 0                 ; OFF     ;
1122 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[5]                                             ; 0                 ; OFF     ;
1123 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[2]                                             ; 0                 ; OFF     ;
1124 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[29]                                                 ; 0                 ; OFF     ;
1125 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[1]                                             ; 0                 ; OFF     ;
1126 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[28]                                                 ; 0                 ; OFF     ;
1127 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[10]                                                 ; 0                 ; OFF     ;
1128 ;      - writeback_stage:writeback_st|wb_reg.data[12]                                               ; 0                 ; OFF     ;
1129 ;      - writeback_stage:writeback_st|wb_reg.data[13]                                               ; 0                 ; OFF     ;
1130 ;      - writeback_stage:writeback_st|wb_reg.data[14]                                               ; 0                 ; OFF     ;
1131 ;      - writeback_stage:writeback_st|wb_reg.data[15]                                               ; 0                 ; OFF     ;
1132 ;      - writeback_stage:writeback_st|wb_reg.data[19]                                               ; 0                 ; OFF     ;
1133 ;      - writeback_stage:writeback_st|wb_reg.data[18]                                               ; 0                 ; OFF     ;
1134 ;      - writeback_stage:writeback_st|wb_reg.data[20]                                               ; 0                 ; OFF     ;
1135 ;      - writeback_stage:writeback_st|wb_reg.data[17]                                               ; 0                 ; OFF     ;
1136 ;      - writeback_stage:writeback_st|wb_reg.data[21]                                               ; 0                 ; OFF     ;
1137 ;      - writeback_stage:writeback_st|wb_reg.data[23]                                               ; 0                 ; OFF     ;
1138 ;      - writeback_stage:writeback_st|wb_reg.data[24]                                               ; 0                 ; OFF     ;
1139 ;      - writeback_stage:writeback_st|wb_reg.data[22]                                               ; 0                 ; OFF     ;
1140 ;      - writeback_stage:writeback_st|wb_reg.data[16]                                               ; 0                 ; OFF     ;
1141 ;      - writeback_stage:writeback_st|wb_reg.data[27]                                               ; 0                 ; OFF     ;
1142 ;      - writeback_stage:writeback_st|wb_reg.data[29]                                               ; 0                 ; OFF     ;
1143 ;      - writeback_stage:writeback_st|wb_reg.data[28]                                               ; 0                 ; OFF     ;
1144 ;      - writeback_stage:writeback_st|wb_reg.data[30]                                               ; 0                 ; OFF     ;
1145 ;      - writeback_stage:writeback_st|wb_reg.data[31]                                               ; 0                 ; OFF     ;
1146 ;      - writeback_stage:writeback_st|wb_reg.data[25]                                               ; 0                 ; OFF     ;
1147 ;      - writeback_stage:writeback_st|wb_reg.data[26]                                               ; 0                 ; OFF     ;
1148 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[31]                                                 ; 0                 ; OFF     ;
1149 ;      - writeback_stage:writeback_st|wb_reg.data[8]                                                ; 0                 ; OFF     ;
1150 ;      - writeback_stage:writeback_st|wb_reg.data[9]                                                ; 0                 ; OFF     ;
1151 ;      - writeback_stage:writeback_st|wb_reg.data[10]                                               ; 0                 ; OFF     ;
1152 ;      - writeback_stage:writeback_st|wb_reg.data[11]                                               ; 0                 ; OFF     ;
1153 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[11]                                                 ; 0                 ; OFF     ;
1154 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[21]                                                 ; 0                 ; OFF     ;
1155 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[15]                                                 ; 0                 ; OFF     ;
1156 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[23]                                                 ; 0                 ; OFF     ;
1157 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[22]                                                 ; 0                 ; OFF     ;
1158 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[27]                                                 ; 0                 ; OFF     ;
1159 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[26]                                                 ; 0                 ; OFF     ;
1160 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[25]                                                 ; 0                 ; OFF     ;
1161 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[30]                                                 ; 0                 ; OFF     ;
1162 ;      - decode_stage:decode_st|rtw_rec.rtw_reg[24]                                                 ; 0                 ; OFF     ;
1163 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[7]                                             ; 0                 ; OFF     ;
1164 ;      - fetch_stage:fetch_st|instr_r_addr[10]                                                      ; 0                 ; OFF     ;
1165 ;      - fetch_stage:fetch_st|instr_r_addr[9]                                                       ; 0                 ; OFF     ;
1166 ;      - fetch_stage:fetch_st|instr_r_addr[8]                                                       ; 0                 ; OFF     ;
1167 ;      - fetch_stage:fetch_st|instr_r_addr[7]                                                       ; 0                 ; OFF     ;
1168 ;      - fetch_stage:fetch_st|instr_r_addr[6]                                                       ; 0                 ; OFF     ;
1169 ;      - fetch_stage:fetch_st|instr_r_addr[5]                                                       ; 0                 ; OFF     ;
1170 ;      - fetch_stage:fetch_st|instr_r_addr[4]                                                       ; 0                 ; OFF     ;
1171 ;      - writeback_stage:writeback_st|wb_reg.data[6]                                                ; 0                 ; OFF     ;
1172 ;      - writeback_stage:writeback_st|wb_reg.data[4]                                                ; 0                 ; OFF     ;
1173 ;      - fetch_stage:fetch_st|instr_r_addr[2]                                                       ; 0                 ; OFF     ;
1174 ;      - fetch_stage:fetch_st|instr_r_addr[3]                                                       ; 0                 ; OFF     ;
1175 ;      - writeback_stage:writeback_st|wb_reg.data[5]                                                ; 0                 ; OFF     ;
1176 ;      - writeback_stage:writeback_st|wb_reg.data[7]                                                ; 0                 ; OFF     ;
1177 ;      - writeback_stage:writeback_st|wb_reg.data[1]                                                ; 0                 ; OFF     ;
1178 ;      - writeback_stage:writeback_st|wb_reg.data[2]                                                ; 0                 ; OFF     ;
1179 ;      - writeback_stage:writeback_st|wb_reg.data[0]                                                ; 0                 ; OFF     ;
1180 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[9]                                             ; 0                 ; OFF     ;
1181 ;      - fetch_stage:fetch_st|instr_r_addr[1]                                                       ; 0                 ; OFF     ;
1182 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[8]                                             ; 0                 ; OFF     ;
1183 ;      - writeback_stage:writeback_st|wb_reg.data[3]                                                ; 0                 ; OFF     ;
1184 ;      - decode_stage:decode_st|dec_op_inst.prog_cnt[10]                                            ; 0                 ; OFF     ;
1185 ;      - fetch_stage:fetch_st|instr_r_addr[0]                                                       ; 0                 ; OFF     ;
1186 ;      - writeback_stage:writeback_st|extension_7seg:sseg|ext_reg_r.wr_en                           ; 0                 ; OFF     ;
1187 ;      - writeback_stage:writeback_st|extension_uart:uart|new_tx_data                               ; 0                 ; OFF     ;
1188 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|new_rx_data        ; 1                 ; ON      ;
1189 ;      - decode_stage:decode_st|dec_op_inst.saddr1[3]                                               ; 0                 ; OFF     ;
1190 ;      - decode_stage:decode_st|dec_op_inst.saddr2[3]                                               ; 0                 ; OFF     ;
1191 ;      - decode_stage:decode_st|dec_op_inst.saddr1[1]                                               ; 0                 ; OFF     ;
1192 ;      - decode_stage:decode_st|dec_op_inst.brpr                                                    ; 0                 ; OFF     ;
1193 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][11]                              ; 0                 ; OFF     ;
1194 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|sync[2]            ; 0                 ; OFF     ;
1195 ;      - decode_stage:decode_st|dec_op_inst.op_detail[5]                                            ; 0                 ; OFF     ;
1196 ;      - execute_stage:exec_st|reg.res_addr[0]                                                      ; 0                 ; OFF     ;
1197 ;      - execute_stage:exec_st|reg.res_addr[1]                                                      ; 0                 ; OFF     ;
1198 ;      - execute_stage:exec_st|reg.res_addr[3]                                                      ; 0                 ; OFF     ;
1199 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][29]                              ; 0                 ; OFF     ;
1200 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][28]                              ; 0                 ; OFF     ;
1201 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][27]                              ; 0                 ; OFF     ;
1202 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][26]                              ; 0                 ; OFF     ;
1203 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][25]                              ; 0                 ; OFF     ;
1204 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][24]                              ; 0                 ; OFF     ;
1205 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][23]                              ; 0                 ; OFF     ;
1206 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][22]                              ; 0                 ; OFF     ;
1207 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][21]                              ; 0                 ; OFF     ;
1208 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][20]                              ; 0                 ; OFF     ;
1209 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][19]                              ; 0                 ; OFF     ;
1210 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][18]                              ; 0                 ; OFF     ;
1211 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][17]                              ; 0                 ; OFF     ;
1212 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][16]                              ; 0                 ; OFF     ;
1213 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][15]                              ; 0                 ; OFF     ;
1214 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][14]                              ; 0                 ; OFF     ;
1215 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][13]                              ; 0                 ; OFF     ;
1216 ;      - execute_stage:exec_st|extension_gpm:gpmp_inst|reg.preg[0][12]                              ; 0                 ; OFF     ;
1217 ; bus_rx                                                                                            ;                   ;         ;
1218 ;      - writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|sync[1]            ; 1                 ; ON      ;
1219 +---------------------------------------------------------------------------------------------------+-------------------+---------+
1220
1221
1222 +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1223 ; Control Signals                                                                                                                                                                                                     ;
1224 +----------------------------------------------------------------------------------------------+---------------+---------+-----------------------------------------+--------+----------------------+------------------+
1225 ; Name                                                                                         ; Location      ; Fan-Out ; Usage                                   ; Global ; Global Resource Used ; Global Line Name ;
1226 +----------------------------------------------------------------------------------------------+---------------+---------+-----------------------------------------+--------+----------------------+------------------+
1227 ; decode_stage:decode_st|decoder:decoder_inst|instr_s~10                                       ; LC_X36_Y12_N6 ; 10      ; Sync. load                              ; no     ; --                   ; --               ;
1228 ; decode_stage:decode_st|rtw_rec_nxt.immediate[16]~28                                          ; LC_X36_Y12_N1 ; 9       ; Sync. clear                             ; no     ; --                   ; --               ;
1229 ; execute_stage:exec_st|alu:alu_inst|calc~0                                                    ; LC_X25_Y16_N7 ; 2       ; Clock enable                            ; no     ; --                   ; --               ;
1230 ; execute_stage:exec_st|alu:alu_inst|pwr_en                                                    ; LC_X32_Y18_N0 ; 30      ; Clock enable                            ; no     ; --                   ; --               ;
1231 ; fetch_stage:fetch_st|rom:instruction_ram|data_out[22]                                        ; LC_X21_Y16_N1 ; 31      ; Sync. clear                             ; no     ; --                   ; --               ;
1232 ; sys_clk                                                                                      ; PIN_152       ; 538     ; Clock                                   ; yes    ; Global Clock         ; GCLK7            ;
1233 ; sys_res                                                                                      ; PIN_42        ; 504     ; Async. clear, Async. load, Clock enable ; yes    ; Global Clock         ; GCLK3            ;
1234 ; writeback_stage:writeback_st|dmem_we~0                                                       ; LC_X22_Y14_N4 ; 16      ; Write enable                            ; no     ; --                   ; --               ;
1235 ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[6]~0                         ; LC_X21_Y11_N3 ; 28      ; Clock enable                            ; no     ; --                   ; --               ;
1236 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|baud_cnt[4]~33       ; LC_X22_Y18_N2 ; 16      ; Sync. clear                             ; no     ; --                   ; --               ;
1237 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|new_rx_data          ; LC_X22_Y18_N9 ; 9       ; Clock enable                            ; no     ; --                   ; --               ;
1238 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_res_int[7]~0 ; LC_X22_Y18_N9 ; 9       ; Clock enable                            ; no     ; --                   ; --               ;
1239 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_BIT       ; LC_X21_Y19_N3 ; 51      ; Sync. load                              ; no     ; --                   ; --               ;
1240 ; writeback_stage:writeback_st|extension_uart:uart|rs232_tx:rs232_tx_inst|bus_tx_int~0         ; LC_X29_Y8_N2  ; 6       ; Clock enable                            ; no     ; --                   ; --               ;
1241 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]~0                               ; LC_X35_Y13_N0 ; 31      ; Clock enable                            ; no     ; --                   ; --               ;
1242 ; writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[15]~0                        ; LC_X30_Y13_N6 ; 32      ; Clock enable                            ; no     ; --                   ; --               ;
1243 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0]~1                           ; LC_X35_Y13_N9 ; 32      ; Clock enable                            ; no     ; --                   ; --               ;
1244 ; writeback_stage:writeback_st|reg_we~11                                                       ; LC_X29_Y17_N6 ; 7       ; Write enable                            ; no     ; --                   ; --               ;
1245 +----------------------------------------------------------------------------------------------+---------------+---------+-----------------------------------------+--------+----------------------+------------------+
1246
1247
1248 +------------------------------------------------------------------------+
1249 ; Global & Other Fast Signals                                            ;
1250 +---------+----------+---------+----------------------+------------------+
1251 ; Name    ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ;
1252 +---------+----------+---------+----------------------+------------------+
1253 ; sys_clk ; PIN_152  ; 538     ; Global Clock         ; GCLK7            ;
1254 ; sys_res ; PIN_42   ; 504     ; Global Clock         ; GCLK3            ;
1255 +---------+----------+---------+----------------------+------------------+
1256
1257
1258 +---------------------------------------------------------------------------------------------------+
1259 ; Non-Global High Fan-Out Signals                                                                   ;
1260 +-----------------------------------------------------------------------------------------+---------+
1261 ; Name                                                                                    ; Fan-Out ;
1262 +-----------------------------------------------------------------------------------------+---------+
1263 ; execute_stage:exec_st|right_operand[0]~19                                               ; 104     ;
1264 ; execute_stage:exec_st|right_operand[1]~15                                               ; 98      ;
1265 ; writeback_stage:writeback_st|wb_reg.dmem_en                                             ; 91      ;
1266 ; execute_stage:exec_st|alu:alu_inst|Selector98~0                                         ; 89      ;
1267 ; decode_stage:decode_st|dec_op_inst.op_group.JMP_ST_OP                                   ; 78      ;
1268 ; execute_stage:exec_st|right_operand[2]~13                                               ; 63      ;
1269 ; execute_stage:exec_st|alu:alu_inst|Selector63~0                                         ; 60      ;
1270 ; execute_stage:exec_st|left_operand[19]~3                                                ; 59      ;
1271 ; decode_stage:decode_st|dec_op_inst.op_detail[3]                                         ; 53      ;
1272 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_BIT  ; 51      ;
1273 ; writeback_stage:writeback_st|regfile_val[24]~50                                         ; 48      ;
1274 ; execute_stage:exec_st|right_operand[3]~17                                               ; 48      ;
1275 ; execute_stage:exec_st|right_operand[5]~3                                                ; 48      ;
1276 ; execute_stage:exec_st|right_operand[5]~2                                                ; 48      ;
1277 ; writeback_stage:writeback_st|wb_reg.address[3]                                          ; 45      ;
1278 ; fetch_stage:fetch_st|rom:instruction_ram|data_out[23]                                   ; 41      ;
1279 ; decode_stage:decode_st|dec_op_inst.op_detail[1]                                         ; 41      ;
1280 ; writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|state.READ_STOP ; 35      ;
1281 ; decode_stage:decode_st|dec_op_inst.op_group.OR_OP                                       ; 34      ;
1282 ; decode_stage:decode_st|dec_op_inst.op_group.XOR_OP                                      ; 33      ;
1283 ; decode_stage:decode_st|dec_op_inst.op_group.AND_OP                                      ; 33      ;
1284 ; writeback_stage:writeback_st|data_addr[12]~10                                           ; 32      ;
1285 ; writeback_stage:writeback_st|data_addr[11]~9                                            ; 32      ;
1286 ; writeback_stage:writeback_st|data_addr[10]~8                                            ; 32      ;
1287 ; writeback_stage:writeback_st|data_addr[9]~7                                             ; 32      ;
1288 ; writeback_stage:writeback_st|data_addr[8]~6                                             ; 32      ;
1289 ; writeback_stage:writeback_st|data_addr[7]~5                                             ; 32      ;
1290 ; writeback_stage:writeback_st|data_addr[6]~4                                             ; 32      ;
1291 ; writeback_stage:writeback_st|data_addr[5]~3                                             ; 32      ;
1292 ; writeback_stage:writeback_st|data_addr[4]~2                                             ; 32      ;
1293 ; writeback_stage:writeback_st|data_addr[3]~1                                             ; 32      ;
1294 ; writeback_stage:writeback_st|data_addr[2]~0                                             ; 32      ;
1295 ; execute_stage:exec_st|alu:alu_inst|calc~1                                               ; 32      ;
1296 ; execute_stage:exec_st|alu:alu_inst|Selector16~2                                         ; 32      ;
1297 ; execute_stage:exec_st|alu:alu_inst|Selector16~1                                         ; 32      ;
1298 ; decode_stage:decode_st|rtw_rec.rtw_reg1                                                 ; 32      ;
1299 ; writeback_stage:writeback_st|extension_uart:uart|w2_uart_config[15]~0                   ; 32      ;
1300 ; writeback_stage:writeback_st|extension_uart:uart|w3_uart_send[0]~1                      ; 32      ;
1301 ; fetch_stage:fetch_st|rom:instruction_ram|data_out[22]                                   ; 31      ;
1302 ; writeback_stage:writeback_st|extension_uart:uart|w1_st_co[0]~0                          ; 31      ;
1303 ; execute_stage:exec_st|alu:alu_inst|pwr_en                                               ; 30      ;
1304 ; execute_stage:exec_st|alu:alu_inst|pinc~0                                               ; 29      ;
1305 ; fetch_stage:fetch_st|rom:instruction_ram|data_out[27]                                   ; 28      ;
1306 ; writeback_stage:writeback_st|extension_7seg:sseg|s_state.digit0[6]~0                    ; 28      ;
1307 ; decode_stage:decode_st|dec_op_inst.op_group.SHIFT_OP                                    ; 27      ;
1308 ; writeback_stage:writeback_st|extension_uart:uart|gread~0                                ; 27      ;
1309 ; writeback_stage:writeback_st|regfile_val[24]~51                                         ; 24      ;
1310 ; decode_stage:decode_st|decoder:decoder_inst|instr_spl.bp~0                              ; 23      ;
1311 ; decode_stage:decode_st|dec_op_inst.displacement[31]                                     ; 23      ;
1312 ; fetch_stage:fetch_st|rom:instruction_ram|data_out[26]                                   ; 23      ;
1313 +-----------------------------------------------------------------------------------------+---------+
1314
1315
1316 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1317 ; Fitter RAM Summary                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                           ;
1318 +--------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1319 ; Name                                                                                                         ; Type ; Mode             ; Clock Mode   ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size  ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M4Ks ; MIF                                  ; Location                                                                                                                                                                                                       ;
1320 +--------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1321 ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_1|altsyncram_emk1:auto_generated|ALTSYNCRAM  ; AUTO ; Simple Dual Port ; Single Clock ; 16           ; 32           ; 16           ; 32           ; yes                    ; no                      ; yes                    ; no                      ; 512   ; 16                          ; 32                          ; 16                          ; 32                          ; 512                 ; 1    ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y17                                                                                                                                                                                                    ;
1322 ; decode_stage:decode_st|r2_w_ram:register_ram|altsyncram:ram_rtl_2|altsyncram_emk1:auto_generated|ALTSYNCRAM  ; AUTO ; Simple Dual Port ; Single Clock ; 16           ; 32           ; 16           ; 32           ; yes                    ; no                      ; yes                    ; no                      ; 512   ; 16                          ; 32                          ; 16                          ; 32                          ; 512                 ; 1    ; db/dt.ram0_r2_w_ram_8e30dd1c.hdl.mif ; M4K_X33_Y18                                                                                                                                                                                                    ;
1323 ; writeback_stage:writeback_st|r_w_ram:data_ram|altsyncram:ram_rtl_0|altsyncram_grk1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 2048         ; 32           ; 2048         ; 32           ; yes                    ; no                      ; yes                    ; no                      ; 65536 ; 2048                        ; 32                          ; 2048                        ; 32                          ; 65536               ; 16   ; db/dt.ram0_r_w_ram_1e9198d1.hdl.mif  ; M4K_X19_Y19, M4K_X33_Y13, M4K_X33_Y14, M4K_X33_Y16, M4K_X19_Y13, M4K_X19_Y16, M4K_X19_Y18, M4K_X19_Y11, M4K_X19_Y15, M4K_X19_Y14, M4K_X19_Y10, M4K_X33_Y11, M4K_X33_Y12, M4K_X33_Y15, M4K_X19_Y17, M4K_X19_Y12 ;
1324 +--------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+--------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
1325 Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section.
1326
1327
1328 +------------------------------------------------------+
1329 ; Interconnect Usage Summary                           ;
1330 +----------------------------+-------------------------+
1331 ; Interconnect Resource Type ; Usage                   ;
1332 +----------------------------+-------------------------+
1333 ; C4s                        ; 3,149 / 30,600 ( 10 % ) ;
1334 ; Direct links               ; 169 / 43,552 ( < 1 % )  ;
1335 ; Global clocks              ; 2 / 8 ( 25 % )          ;
1336 ; LAB clocks                 ; 64 / 312 ( 21 % )       ;
1337 ; LUT chains                 ; 152 / 10,854 ( 1 % )    ;
1338 ; Local interconnects        ; 3,313 / 43,552 ( 8 % )  ;
1339 ; M4K buffers                ; 96 / 1,872 ( 5 % )      ;
1340 ; R4s                        ; 3,533 / 28,560 ( 12 % ) ;
1341 +----------------------------+-------------------------+
1342
1343
1344 +----------------------------------------------------------------------------+
1345 ; LAB Logic Elements                                                         ;
1346 +--------------------------------------------+-------------------------------+
1347 ; Number of Logic Elements  (Average = 9.46) ; Number of LABs  (Total = 174) ;
1348 +--------------------------------------------+-------------------------------+
1349 ; 1                                          ; 4                             ;
1350 ; 2                                          ; 0                             ;
1351 ; 3                                          ; 2                             ;
1352 ; 4                                          ; 2                             ;
1353 ; 5                                          ; 1                             ;
1354 ; 6                                          ; 0                             ;
1355 ; 7                                          ; 2                             ;
1356 ; 8                                          ; 7                             ;
1357 ; 9                                          ; 7                             ;
1358 ; 10                                         ; 149                           ;
1359 +--------------------------------------------+-------------------------------+
1360
1361
1362 +--------------------------------------------------------------------+
1363 ; LAB-wide Signals                                                   ;
1364 +------------------------------------+-------------------------------+
1365 ; LAB-wide Signals  (Average = 1.83) ; Number of LABs  (Total = 174) ;
1366 +------------------------------------+-------------------------------+
1367 ; 1 Async. clear                     ; 126                           ;
1368 ; 1 Async. load                      ; 1                             ;
1369 ; 1 Clock                            ; 130                           ;
1370 ; 1 Clock enable                     ; 39                            ;
1371 ; 1 Sync. clear                      ; 4                             ;
1372 ; 1 Sync. load                       ; 5                             ;
1373 ; 2 Clock enables                    ; 14                            ;
1374 +------------------------------------+-------------------------------+
1375
1376
1377 +------------------------------------------------------------------------------+
1378 ; LAB Signals Sourced                                                          ;
1379 +----------------------------------------------+-------------------------------+
1380 ; Number of Signals Sourced  (Average = 10.30) ; Number of LABs  (Total = 174) ;
1381 +----------------------------------------------+-------------------------------+
1382 ; 0                                            ; 0                             ;
1383 ; 1                                            ; 4                             ;
1384 ; 2                                            ; 0                             ;
1385 ; 3                                            ; 2                             ;
1386 ; 4                                            ; 2                             ;
1387 ; 5                                            ; 1                             ;
1388 ; 6                                            ; 0                             ;
1389 ; 7                                            ; 2                             ;
1390 ; 8                                            ; 5                             ;
1391 ; 9                                            ; 7                             ;
1392 ; 10                                           ; 87                            ;
1393 ; 11                                           ; 30                            ;
1394 ; 12                                           ; 16                            ;
1395 ; 13                                           ; 6                             ;
1396 ; 14                                           ; 5                             ;
1397 ; 15                                           ; 3                             ;
1398 ; 16                                           ; 1                             ;
1399 ; 17                                           ; 3                             ;
1400 +----------------------------------------------+-------------------------------+
1401
1402
1403 +---------------------------------------------------------------------------------+
1404 ; LAB Signals Sourced Out                                                         ;
1405 +-------------------------------------------------+-------------------------------+
1406 ; Number of Signals Sourced Out  (Average = 7.25) ; Number of LABs  (Total = 174) ;
1407 +-------------------------------------------------+-------------------------------+
1408 ; 0                                               ; 0                             ;
1409 ; 1                                               ; 4                             ;
1410 ; 2                                               ; 1                             ;
1411 ; 3                                               ; 5                             ;
1412 ; 4                                               ; 9                             ;
1413 ; 5                                               ; 18                            ;
1414 ; 6                                               ; 26                            ;
1415 ; 7                                               ; 33                            ;
1416 ; 8                                               ; 30                            ;
1417 ; 9                                               ; 19                            ;
1418 ; 10                                              ; 21                            ;
1419 ; 11                                              ; 3                             ;
1420 ; 12                                              ; 1                             ;
1421 ; 13                                              ; 0                             ;
1422 ; 14                                              ; 1                             ;
1423 ; 15                                              ; 1                             ;
1424 ; 16                                              ; 2                             ;
1425 +-------------------------------------------------+-------------------------------+
1426
1427
1428 +------------------------------------------------------------------------------+
1429 ; LAB Distinct Inputs                                                          ;
1430 +----------------------------------------------+-------------------------------+
1431 ; Number of Distinct Inputs  (Average = 16.75) ; Number of LABs  (Total = 174) ;
1432 +----------------------------------------------+-------------------------------+
1433 ; 0                                            ; 0                             ;
1434 ; 1                                            ; 0                             ;
1435 ; 2                                            ; 0                             ;
1436 ; 3                                            ; 3                             ;
1437 ; 4                                            ; 1                             ;
1438 ; 5                                            ; 2                             ;
1439 ; 6                                            ; 2                             ;
1440 ; 7                                            ; 6                             ;
1441 ; 8                                            ; 2                             ;
1442 ; 9                                            ; 6                             ;
1443 ; 10                                           ; 6                             ;
1444 ; 11                                           ; 6                             ;
1445 ; 12                                           ; 4                             ;
1446 ; 13                                           ; 4                             ;
1447 ; 14                                           ; 13                            ;
1448 ; 15                                           ; 10                            ;
1449 ; 16                                           ; 5                             ;
1450 ; 17                                           ; 4                             ;
1451 ; 18                                           ; 9                             ;
1452 ; 19                                           ; 12                            ;
1453 ; 20                                           ; 16                            ;
1454 ; 21                                           ; 35                            ;
1455 ; 22                                           ; 28                            ;
1456 +----------------------------------------------+-------------------------------+
1457
1458
1459 +--------------------------------------------------------------------+
1460 ; Fitter Device Options                                              ;
1461 +----------------------------------------------+---------------------+
1462 ; Option                                       ; Setting             ;
1463 +----------------------------------------------+---------------------+
1464 ; Enable user-supplied start-up clock (CLKUSR) ; Off                 ;
1465 ; Enable device-wide reset (DEV_CLRn)          ; Off                 ;
1466 ; Enable device-wide output enable (DEV_OE)    ; Off                 ;
1467 ; Enable INIT_DONE output                      ; Off                 ;
1468 ; Configuration scheme                         ; Active Serial       ;
1469 ; Error detection CRC                          ; Off                 ;
1470 ; ASDO,nCSO                                    ; As input tri-stated ;
1471 ; Reserve all unused pins                      ; As input tri-stated ;
1472 ; Base pin-out file on sameframe device        ; Off                 ;
1473 +----------------------------------------------+---------------------+
1474
1475
1476 +------------------------------------------------------------+
1477 ; Estimated Delay Added for Hold Timing Summary              ;
1478 +-----------------+----------------------+-------------------+
1479 ; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ;
1480 +-----------------+----------------------+-------------------+
1481
1482
1483 +------------------------------------------------------------+
1484 ; Estimated Delay Added for Hold Timing Details              ;
1485 +-----------------+----------------------+-------------------+
1486 ; Source Register ; Destination Register ; Delay Added in ns ;
1487 +-----------------+----------------------+-------------------+
1488
1489
1490 +-----------------+
1491 ; Fitter Messages ;
1492 +-----------------+
1493 Info: *******************************************************************
1494 Info: Running Quartus II Fitter
1495     Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition
1496     Info: Processing started: Sun Dec 19 20:36:27 2010
1497 Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off dt -c dt
1498 Info: Selected device EP1C12Q240C8 for design "dt"
1499 Info: Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time
1500 Warning: Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
1501 Info: Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices
1502     Info: Device EP1C6Q240C8 is compatible
1503 Info: Fitter converted 2 user pins into dedicated programming pins
1504     Info: Pin ~nCSO~ is reserved at location 24
1505     Info: Pin ~ASDO~ is reserved at location 37
1506 Critical Warning: No exact pin location assignment(s) for 29 pins of 32 total pins
1507     Info: Pin sseg0[6] not assigned to an exact location on the device
1508     Info: Pin sseg0[5] not assigned to an exact location on the device
1509     Info: Pin sseg0[4] not assigned to an exact location on the device
1510     Info: Pin sseg0[3] not assigned to an exact location on the device
1511     Info: Pin sseg0[2] not assigned to an exact location on the device
1512     Info: Pin sseg0[1] not assigned to an exact location on the device
1513     Info: Pin sseg0[0] not assigned to an exact location on the device
1514     Info: Pin sseg1[6] not assigned to an exact location on the device
1515     Info: Pin sseg1[5] not assigned to an exact location on the device
1516     Info: Pin sseg1[4] not assigned to an exact location on the device
1517     Info: Pin sseg1[3] not assigned to an exact location on the device
1518     Info: Pin sseg1[2] not assigned to an exact location on the device
1519     Info: Pin sseg1[1] not assigned to an exact location on the device
1520     Info: Pin sseg1[0] not assigned to an exact location on the device
1521     Info: Pin sseg2[6] not assigned to an exact location on the device
1522     Info: Pin sseg2[5] not assigned to an exact location on the device
1523     Info: Pin sseg2[4] not assigned to an exact location on the device
1524     Info: Pin sseg2[3] not assigned to an exact location on the device
1525     Info: Pin sseg2[2] not assigned to an exact location on the device
1526     Info: Pin sseg2[1] not assigned to an exact location on the device
1527     Info: Pin sseg2[0] not assigned to an exact location on the device
1528     Info: Pin sseg3[6] not assigned to an exact location on the device
1529     Info: Pin sseg3[5] not assigned to an exact location on the device
1530     Info: Pin sseg3[4] not assigned to an exact location on the device
1531     Info: Pin sseg3[3] not assigned to an exact location on the device
1532     Info: Pin sseg3[2] not assigned to an exact location on the device
1533     Info: Pin sseg3[1] not assigned to an exact location on the device
1534     Info: Pin sseg3[0] not assigned to an exact location on the device
1535     Info: Pin bus_rx not assigned to an exact location on the device
1536 Info: Timing-driven compilation is using the Classic Timing Analyzer
1537 Warning: Classic Timing Analyzer will not be available in a future release of the Quartus II software. Use the TimeQuest Timing Analyzer to run timing analysis on your design. Convert all the project settings and the timing constraints to TimeQuest Timing Analyzer equivalents.
1538 Info: Timing requirements not specified -- quality metrics such as performance and power consumption may be sacrificed to reduce compilation time.
1539 Extra Info: Performing register packing on registers with non-logic cell location assignments
1540 Extra Info: Completed register packing on registers with non-logic cell location assignments
1541 Info: Completed User Assigned Global Signals Promotion Operation
1542 Info: DQS I/O pins require 0 global routing resources
1543 Info: Automatically promoted signal "sys_clk" to use Global clock in PIN 152
1544 Info: Automatically promoted some destinations of signal "sys_res" to use Global clock
1545     Info: Destination "execute_stage:exec_st|alu:alu_inst|\calc:cond_met~1" may be non-global or may not use global clock
1546     Info: Destination "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|new_rx_data" may be non-global or may not use global clock
1547     Info: Destination "fetch_stage:fetch_st|instr_r_addr_nxt[0]~1" may be non-global or may not use global clock
1548     Info: Destination "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[6]~0" may be non-global or may not use global clock
1549     Info: Destination "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[5]~2" may be non-global or may not use global clock
1550     Info: Destination "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[7]~4" may be non-global or may not use global clock
1551     Info: Destination "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[4]~6" may be non-global or may not use global clock
1552     Info: Destination "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[2]~8" may be non-global or may not use global clock
1553     Info: Destination "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[1]~10" may be non-global or may not use global clock
1554     Info: Destination "writeback_stage:writeback_st|extension_uart:uart|rs232_rx:rs232_rx_inst|rx_data_int[3]~12" may be non-global or may not use global clock
1555     Info: Limited to 10 non-global destinations
1556 Info: Pin "sys_res" drives global clock, but is not placed in a dedicated clock pin position
1557 Info: Completed Auto Global Promotion Operation
1558 Info: Starting register packing
1559 Extra Info: Started Fast Input/Output/OE register processing
1560 Extra Info: Finished Fast Input/Output/OE register processing
1561 Info: Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option
1562 Extra Info: Moving registers into I/O cells, LUTs, and RAM blocks to improve timing and density
1563 Info: Finished moving registers into I/O cells, LUTs, and RAM blocks
1564 Info: Finished register packing
1565 Info: Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement
1566     Info: Number of I/O pins in group: 29 (unused VREF, 3.3V VCCIO, 1 input, 28 output, 0 bidirectional)
1567         Info: I/O standards used: 3.3-V LVCMOS.
1568 Info: I/O bank details before I/O pin placement
1569     Info: Statistics of I/O banks
1570         Info: I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 3 total pin(s) used --  41 pins available
1571         Info: I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  42 pins available
1572         Info: I/O bank number 3 does not use VREF pins and has 3.3V VCCIO pins. 2 total pin(s) used --  43 pins available
1573         Info: I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used --  42 pins available
1574 Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
1575 Info: Fitter preparation operations ending: elapsed time is 00:00:02
1576 Info: Fitter placement preparation operations beginning
1577 Info: Fitter placement preparation operations ending: elapsed time is 00:00:00
1578 Info: Fitter placement operations beginning
1579 Info: Fitter placement was successful
1580 Info: Fitter placement operations ending: elapsed time is 00:00:02
1581 Info: Estimated most critical path is register to register delay of 23.818 ns
1582     Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LAB_X35_Y17; Fanout = 2; REG Node = 'writeback_stage:writeback_st|wb_reg.address[16]'
1583     Info: 2: + IC(0.860 ns) + CELL(0.114 ns) = 0.974 ns; Loc. = LAB_X36_Y17; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~3'
1584     Info: 3: + IC(1.490 ns) + CELL(0.114 ns) = 2.578 ns; Loc. = LAB_X29_Y17; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|Equal0~4'
1585     Info: 4: + IC(0.063 ns) + CELL(0.590 ns) = 3.231 ns; Loc. = LAB_X29_Y17; Fanout = 4; COMB Node = 'writeback_stage:writeback_st|Equal0~8'
1586     Info: 5: + IC(0.211 ns) + CELL(0.442 ns) = 3.884 ns; Loc. = LAB_X29_Y17; Fanout = 27; COMB Node = 'writeback_stage:writeback_st|extension_uart:uart|gread~0'
1587     Info: 6: + IC(1.564 ns) + CELL(0.590 ns) = 6.038 ns; Loc. = LAB_X27_Y12; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|regfile_val[17]~96'
1588     Info: 7: + IC(1.633 ns) + CELL(0.114 ns) = 7.785 ns; Loc. = LAB_X22_Y15; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|regfile_val[17]~97'
1589     Info: 8: + IC(1.653 ns) + CELL(0.114 ns) = 9.552 ns; Loc. = LAB_X27_Y12; Fanout = 1; COMB Node = 'writeback_stage:writeback_st|regfile_val[17]~98'
1590     Info: 9: + IC(0.361 ns) + CELL(0.292 ns) = 10.205 ns; Loc. = LAB_X27_Y12; Fanout = 6; COMB Node = 'writeback_stage:writeback_st|regfile_val[17]~99'
1591     Info: 10: + IC(1.177 ns) + CELL(0.114 ns) = 11.496 ns; Loc. = LAB_X29_Y12; Fanout = 1; COMB Node = 'execute_stage:exec_st|right_operand[17]~64'
1592     Info: 11: + IC(0.361 ns) + CELL(0.292 ns) = 12.149 ns; Loc. = LAB_X29_Y12; Fanout = 5; COMB Node = 'execute_stage:exec_st|right_operand[17]~65'
1593     Info: 12: + IC(1.889 ns) + CELL(0.114 ns) = 14.152 ns; Loc. = LAB_X36_Y11; Fanout = 3; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~176'
1594     Info: 13: + IC(1.431 ns) + CELL(0.575 ns) = 16.158 ns; Loc. = LAB_X31_Y13; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~78COUT1_259'
1595     Info: 14: + IC(0.000 ns) + CELL(0.080 ns) = 16.238 ns; Loc. = LAB_X31_Y13; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~73COUT1_261'
1596     Info: 15: + IC(0.000 ns) + CELL(0.608 ns) = 16.846 ns; Loc. = LAB_X31_Y13; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|exec_op:add_inst|Add0~66'
1597     Info: 16: + IC(1.416 ns) + CELL(0.590 ns) = 18.852 ns; Loc. = LAB_X23_Y12; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector12~2'
1598     Info: 17: + IC(0.063 ns) + CELL(0.590 ns) = 19.505 ns; Loc. = LAB_X23_Y12; Fanout = 2; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Selector12~5'
1599     Info: 18: + IC(0.752 ns) + CELL(0.590 ns) = 20.847 ns; Loc. = LAB_X23_Y11; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Equal0~1'
1600     Info: 19: + IC(1.563 ns) + CELL(0.590 ns) = 23.000 ns; Loc. = LAB_X28_Y18; Fanout = 1; COMB Node = 'execute_stage:exec_st|alu:alu_inst|Equal0~2'
1601     Info: 20: + IC(0.211 ns) + CELL(0.607 ns) = 23.818 ns; Loc. = LAB_X28_Y18; Fanout = 1; REG Node = 'execute_stage:exec_st|extension_gpm:gpmp_inst|reg.status.zero'
1602     Info: Total cell delay = 7.120 ns ( 29.89 % )
1603     Info: Total interconnect delay = 16.698 ns ( 70.11 % )
1604 Info: Fitter routing operations beginning
1605 Info: Router estimated average interconnect usage is 9% of the available device resources
1606     Info: Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X21_Y14 to location X31_Y27
1607 Info: Fitter routing operations ending: elapsed time is 00:00:05
1608 Info: The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
1609     Info: Optimizations that may affect the design's routability were skipped
1610     Info: Optimizations that may affect the design's timing were skipped
1611 Info: Completed Fixed Delay Chain Operation
1612 Info: Started post-fitting delay annotation
1613 Info: Delay annotation completed successfully
1614 Info: Completed Auto Delay Chain Operation
1615 Info: Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements.
1616 Info: Quartus II Fitter was successful. 0 errors, 3 warnings
1617     Info: Peak virtual memory: 272 megabytes
1618     Info: Processing ended: Sun Dec 19 20:36:45 2010
1619     Info: Elapsed time: 00:00:18
1620     Info: Total CPU time (on all processors): 00:00:16
1621
1622