modelsim lauffähig
[calu.git] / cpu / src / writeback_stage_b.vhd
1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4
5 use work.common_pkg.all;
6 use work.core_pkg.all;
7
8 use work.mem_pkg.all;
9 use work.extension_pkg.all;
10 use work.extension_uart_pkg.all;
11 use work.extension_7seg_pkg.all;
12
13 architecture behav of writeback_stage is
14
15 signal data_ram_read, data_ram_read_ext : word_t;
16 signal data_addr : word_t;
17
18 signal wb_reg, wb_reg_nxt : writeback_rec;
19
20 signal ext_uart,ext_timer,ext_gpmp,ext_7seg :  extmod_rec;
21 signal ext_uart_out, ext_timer_out, ext_gpmp_out : gp_register_t;
22
23 signal sel_nxt, dmem_we, bus_rx, ext_anysel : std_logic;
24
25 signal calc_mem_res : gp_register_t;
26
27 begin
28
29         ext_timer_out <= (others => '0'); --TODO: delete when timer is connected
30         ext_gpmp_out <= (others => '0'); --TODO: delete when gpm is connected
31
32         data_ram : r_w_ram
33                 generic map (
34                         DATA_ADDR_WIDTH,
35                         WORD_WIDTH
36                 )
37                 
38                 port map (
39                         clk,
40                         data_addr(DATA_ADDR_WIDTH+1 downto 2),
41                         data_addr(DATA_ADDR_WIDTH+1 downto 2),
42                         dmem_we,
43                         ram_data,
44                         data_ram_read
45                 );
46
47 uart : extension_uart 
48         generic map(
49                 RESET_VALUE
50                 )
51         port map(
52                         clk ,
53                         reset,
54                         ext_uart,
55                         ext_uart_out,
56                         bus_rx,
57                         bus_tx
58                 );
59         
60 sseg : extension_7seg
61         generic map(
62                 RESET_VALUE
63                 )
64         port map(
65                 clk,
66                 reset,
67                 ext_7seg,
68                 sseg0,
69                 sseg1,
70                 sseg2,
71                 sseg3
72                 );
73         
74 syn: process(clk, reset)
75
76 begin
77
78         if (reset = RESET_VALUE) then
79                 wb_reg.address <= (others => '0');
80                 wb_reg.dmem_en <= '0';
81                 wb_reg.dmem_write_en <= '0';
82                 wb_reg.hword <= '0';
83                 wb_reg.byte_s <= '0';
84                 bus_rx <= '1';
85                 
86                 wb_reg.byte_en <= (others => '0');
87                 wb_reg.data <= (others =>'0');
88         elsif rising_edge(clk) then
89                 wb_reg <= wb_reg_nxt;
90                 bus_rx <= '1';
91         end if;
92         
93 end process; 
94
95 --      type writeback_rec is record
96 --              address : in word_t;            --ureg 
97 --              dmem_en : in std_logic;         --ureg (jump addr in mem or in address)
98 --              dmem_write_en : in std_logic;   --ureg
99 --              hword_hl : in std_logic         --ureg
100 --      end record;
101
102
103
104 shift_input: process(data_ram_read, address, dmem_en, dmem_write_en, hword, wb_reg, result, byte_s, alu_jmp, br_pred, write_en, ram_data)
105 variable byte_en : byte_en_t;
106 variable address_val : std_logic_vector(1 downto 0);
107 begin
108         wb_reg_nxt.address <= address;
109         wb_reg_nxt.dmem_en <= dmem_en;
110         wb_reg_nxt.dmem_write_en <= dmem_write_en;
111         wb_reg_nxt.hword <= hword;
112         wb_reg_nxt.byte_s <= byte_s;
113
114         calc_mem_res <= result; --(others => '0');
115         
116         wb_reg_nxt.data <= ram_data;
117         byte_en := (others => '0');
118         address_val := address(BYTEADDR-1 downto 0);
119         if dmem_en = '1' then
120                 if hword = '1' then
121 --                      case address(BYTEADDR-1 downto 0) is
122                         case address_val is
123                         when "00" => byte_en(1 downto 0) := "11";
124                         when "10" => byte_en(3 downto 2) := "11";
125                         when others => null;
126                         end case;
127                 elsif byte_s = '1' then
128 --                      case address(BYTEADDR-1 downto 0) is
129                         case address_val is
130                         when "00" => byte_en(0) := '1';
131                         when "01" => byte_en(1) := '1';
132                         when "10" => byte_en(2) := '1';
133                         when "11" => byte_en(3) := '1';
134                         when others => null;
135                         end case;
136                 else
137                         byte_en := (others => '1');
138                 end if;
139         end if;
140         wb_reg_nxt.byte_en <= byte_en;
141         
142         -- if (wb_reg.dmem_en = '1' and wb_reg.dmem_write_en = '0') then        -- ram read operation --alu_jmp = '0' and 
143                 -- calc_mem_res <= data_ram_read;
144                 -- if (wb_reg.hword = '1') then
145                         -- calc_mem_res <= (others => '0');
146                         -- if (wb_reg.address(1) = '1') then
147                                 -- calc_mem_res(15 downto 0) <= data_ram_read(31 downto 16);
148                         -- else
149                                 -- calc_mem_res(15 downto 0) <= data_ram_read(15 downto 0);
150                         -- end if;
151                 -- end if;
152                 -- if (wb_reg.byte_s = '1') then
153                         -- calc_mem_res <= (others => '0');
154                         -- case wb_reg.address(1 downto 0) is
155                                 -- when "00" => calc_mem_res(7 downto 0) <= data_ram_read(7 downto 0);
156                                 -- when "01" => calc_mem_res(7 downto 0) <= data_ram_read(15 downto 8);
157                                 -- when "10" => calc_mem_res(7 downto 0) <= data_ram_read(23 downto 16);
158                                 -- when "11" => calc_mem_res(7 downto 0) <= data_ram_read(31 downto 24);
159                                 -- when others => null;
160                         -- end case;
161                 -- end if;      
162         -- end if;
163
164         --jump <= (alu_jmp xor br_pred) and (write_en or wb_reg.dmem_en);
165         jump <= (alu_jmp xor br_pred);-- and (write_en or wb_reg.dmem_en);
166
167         if (alu_jmp = '1' and wb_reg.dmem_en = '1' and wb_reg.dmem_write_en = '0' and write_en = '0') then
168                 jump_addr <= data_ram_read;
169         else
170                 jump_addr <= result;    
171         end if;
172
173 --      if alu_jmp = '0' and br_pred = '1' and write_en = '0' then
174 --              jump <= '1';
175 --      end if;
176
177 --      if ((alu_jmp and wb_reg.dmem_en) = '1') then
178 --              jump_addr <= data_ram_read;
179 --      end if; 
180
181 end process;
182
183 --                      result : in gp_register_t;      --reg  (alu result or jumpaddr)
184 --                      result_addr : in gp_addr_t;     --reg
185 --                      address : in word_t;            --ureg 
186 --                      alu_jmp : in std_logic;         --reg
187 --                      br_pred : in std_logic;         --reg
188 --                      write_en : in std_logic;        --reg  (register file)
189 --                      dmem_en : in std_logic;         --ureg (jump addr in mem or in result)
190 --                      dmem_write_en : in std_logic;   --ureg
191 --                      hword : in std_logic            --ureg
192
193
194
195 out_logic: process(write_en, result_addr, wb_reg, alu_jmp, wb_reg_nxt, data_ram_read_ext, calc_mem_res, data_ram_read, ext_anysel)
196 variable reg_we_v : std_logic;
197 variable data_out : gp_register_t;
198 begin
199     reg_we_v := (write_en or (wb_reg.dmem_en and not(wb_reg.dmem_write_en))) and not(alu_jmp);
200         reg_addr <= result_addr;
201
202         data_addr <= (others => '0');
203         dmem_we <= '0';
204         
205         if (wb_reg.address(DATA_ADDR_WIDTH+2) /= '1') then
206                 data_out := data_ram_read;
207         else
208                 reg_we_v := reg_we_v and ext_anysel;
209                 data_out := data_ram_read_ext;
210         end if;
211         
212         if wb_reg.byte_en(0) = '0' then
213                 data_out(byte_t'range) := (others => '0');
214         end if;
215         if wb_reg.byte_en(1) = '0' then
216                 data_out(2*byte_t'length-1 downto byte_t'length) := (others => '0');
217         end if;
218         if wb_reg.byte_en(2) = '0' then
219                 data_out(3*byte_t'length-1 downto 2*byte_t'length) := (others => '0');
220         end if;
221         if wb_reg.byte_en(3) = '0' then
222                 data_out(4*byte_t'length-1 downto 3*byte_t'length) := (others => '0');
223         end if;
224         
225         data_out := to_stdlogicvector(to_bitvector(data_out) srl to_integer(unsigned(wb_reg.address(BYTEADDR-1 downto 0)))); 
226         
227         if (wb_reg_nxt.address(DATA_ADDR_WIDTH+2) /= '1') then
228                 data_addr(DATA_ADDR_WIDTH+1 downto 0) <= wb_reg_nxt.address(DATA_ADDR_WIDTH+1 downto 0);
229                 dmem_we <= wb_reg_nxt.dmem_write_en;
230         end if;
231         
232         regfile_val <= data_out;
233         
234         reg_we <= reg_we_v;
235         
236 end process;
237
238
239 addr_de_mult: process(wb_reg, wb_reg_nxt, ram_data, sel_nxt, ext_uart_out, ext_gpmp_out, ext_timer_out)
240 variable wr_en, enable  : std_logic; -- these are all registered
241 variable byte_en : byte_en_t; -- if a module needs the nxt signals it has to manually select them
242 variable addr : ext_addr_t;   -- for example the data memory, because it already has input registers
243 variable addrid : std_logic_vector(27 downto 0);--ext_addrid_t;
244 variable data : gp_register_t;
245 begin
246
247  --if selecting enable is too slow, see alu_b
248   enable := wb_reg.dmem_en;
249   wr_en  := wb_reg.dmem_write_en;
250   byte_en := wb_reg.byte_en;
251   addr := wb_reg.address(gp_register_t'high downto BYTEADDR);
252   addrid := wb_reg.address(gp_register_t'high downto EXTWORDS);
253   data := wb_reg.data;
254
255   ext_uart.sel <='0';
256   ext_7seg.sel <='0';
257   ext_timer.sel <='0';
258   ext_gpmp.sel <='0';
259   
260   ext_uart.wr_en <= wr_en;
261   ext_7seg.wr_en <= wr_en;
262   ext_timer.wr_en <= wr_en;
263   ext_gpmp.wr_en <= wr_en;
264   
265   ext_uart.byte_en <= byte_en;
266   ext_7seg.byte_en <= byte_en;
267   ext_timer.byte_en <= byte_en;
268   ext_gpmp.byte_en <= byte_en;
269   
270   ext_uart.addr <= addr;
271   ext_7seg.addr <= addr;
272   ext_timer.addr <= addr;
273   ext_gpmp.addr <= addr;
274
275   ext_uart.data <= data;
276   ext_7seg.data <= data;
277   ext_timer.data <= data;
278   ext_gpmp.data <= data;
279    -- wenn ich hier statt dem 4rer die konstante nehme dann gibts an fehler wegen nicht lokaler variable -.-
280  case addrid is
281     when EXT_UART_ADDR => 
282         ext_uart.sel <= enable;
283                 ext_anysel <= enable;
284 --              ext_uart.wr_en <= wb_reg_nxt.dmem_write_en;
285 --              ext_uart.data <= ram_data;
286 --              ext_uart.addr <= wb_reg_nxt.address(31 downto 2);
287 --              case wb_reg_nxt.address(1 downto 0) is
288 --                              when "00" => ext_uart.byte_en <= "0001";
289 --                              when "01" => ext_uart.byte_en <= "0010";
290 --                              when "10" => ext_uart.byte_en <= "0100";
291 --                              --when "11" => ext_uart.byte_en <= "1000";
292 --                              when "11" => ext_uart.byte_en <= "1111";
293 --                              when others => null;
294 --                      end case;
295
296         when EXT_7SEG_ADDR => 
297                 ext_7seg.sel <= enable;
298                 ext_anysel <= enable;
299                 -- ext_7seg.wr_en <= wb_regdmem_write_en;
300                 -- ext_7seg.data <= ram_data;
301                 -- ext_7seg.addr <= wb_reg_nxt.address(31 downto 2);
302                 -- ext_7seg.byte_en(1 downto 0) <= wb_reg_nxt.address(1 downto 0);
303
304                 
305 --              case wb_reg_nxt.address(1 downto 0) is
306 --                      when "00" => ext_7seg.byte_en <= "0001";
307 --                      when "01" => ext_7seg.byte_en <= "0010";
308 --                      when "10" => ext_7seg.byte_en <= "0100";
309 --                      when "11" => ext_7seg.byte_en <= "1000";
310 --                      when others => null;
311 --              end case;
312                         
313         when EXT_TIMER_ADDR => 
314                 ext_timer.sel <= enable;
315                 ext_anysel <= enable;
316                 -- ext_timer.wr_en <= wb_reg_nxt.dmem_write_en;
317                 -- ext_timer.data <= ram_data;
318                 -- ext_timer.addr <= wb_reg_nxt.address(wb_reg_nxt.address'high downto BYTEADDR);
319                 -- case wb_reg.address(1 downto 0) is
320                                 -- when "00" => ext_timer.byte_en <= "0001";
321                                 -- when "01" => ext_timer.byte_en <= "0010";
322                                 -- when "10" => ext_timer.byte_en <= "0100";
323                                 -- when "11" => ext_timer.byte_en <= "1000";
324                                 -- when others => null;
325                         -- end case;
326         when EXT_GPMP_ADDR => 
327                 ext_gpmp.sel <= enable;
328                 ext_anysel <= enable;
329                 -- ext_gpmp.wr_en <= wb_reg_nxt.dmem_write_en;
330                 -- ext_gpmp.data <= ram_data;
331                 -- ext_gpmp.addr <= wb_reg_nxt.address(wb_reg_nxt.address'high downto BYTEADDR);
332                 -- case wb_reg.address(1 downto 0) is
333                                 -- when "00" => ext_gpmp.byte_en <= "0001";
334                                 -- when "01" => ext_gpmp.byte_en <= "0010";
335                                 -- when "10" => ext_gpmp.byte_en <= "0100";
336                                 -- when "11" => ext_gpmp.byte_en <= "1000";
337                                 -- when others => null;
338                         -- end case;
339         -- hier kann man weiter extensions adden :) Konstanten sind im extension pkg definiert 
340         when others => ext_anysel <= '0';
341         end case;
342         
343         data_ram_read_ext <= ext_uart_out or ext_gpmp_out or ext_timer_out;
344 end process;
345
346 end behav;
347