4e5fba30c1e08b90d552e1f0b1e43ad19c54d1f4
[calu.git] / cpu / src / writeback_stage_b.vhd
1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4
5 use work.core_pkg.all;
6
7 architecture behav of writeback_stage is
8
9
10 begin
11
12 syn: process(sys_clk, reset)
13
14 begin
15
16         if (reset = RESET_VALUE) then
17                                 
18         elsif rising_edge(sys_clk) then
19                 
20         end if;
21         
22 end process; 
23
24 end behav;
25