d6684d3d373537a0ddff6735c2fc6d509a24a62d
[calu.git] / cpu / src / rs232_tx.vhd
1 ---------------------------------------------------------------------------------
2 -- Filename : rs232_tx.vhd
3 -- ========== 
4 -- 
5 -- Beschreibung : Versand von Daten ueber die RS232 Schnittstelle
6 -- ==============
7 --
8 -- Autoren : Martin Perner, Schwarz Manfred
9 -- =========
10 ----------------------------------------------------------------------------------
11
12 library IEEE;
13 use IEEE.std_logic_1164.all;
14 use IEEE.std_logic_unsigned.all;
15 use IEEE.std_logic_arith.all;
16
17 use work.common_pkg.all;
18 use work.core_pkg.all;
19 use work.extension_uart_pkg.all;
20
21 entity rs232_tx is
22         generic (
23                 -- active reset value
24                 RESET_VALUE : std_logic
25                 );
26         port(
27                 --System inputs
28                 sys_clk : in std_logic;
29                 sys_res_n : in std_logic;
30
31                 --Bus
32                 bus_tx : out std_logic;
33
34                 --From/to extension
35                 new_tx_data : in std_logic;
36                 tx_data : in uart_data;
37                 tx_rdy : out std_logic;
38                 bd_rate : in baud_rate_l;
39                 stop_bit : in std_logic
40         );
41
42 end rs232_tx;