version not running!
[calu.git] / cpu / src / rs232_rx_arc.vhd
1 ---------------------------------------------------------------------------------
2 -- Filename : rs232_rx_arc.vhd
3 -- ========== 
4 -- 
5 -- Beschreibung : Empfang von Daten ueber die RS232 Schnittstelle
6 -- ==============
7 --
8 -- Autoren : Martin Perner, Schwarz Manfred
9 -- =========
10 ----------------------------------------------------------------------------------
11
12 library IEEE;
13 use IEEE.std_logic_1164.all;
14 use IEEE.numeric_std.all;
15
16 use work.extension_uart_pkg.all;
17
18 use work.common_pkg.all;
19 use work.core_pkg.all;
20
21 architecture beh of rs232_rx is
22         -- definierern der intern verwendeten Signale
23         type STATE_TYPE is (IDLE, READ_START, READ_BIT, READ_STOP, POST_STOP);
24         signal state, state_next : STATE_TYPE;
25         signal bus_rx_last, bus_rx_int, new_rx_data_nxt : std_logic := '0';
26         signal cnt, cnt_next : integer := 0;
27         signal baud_cnt, baud_cnt_next : std_logic_vector(BAUD_RATE_WIDTH-1 downto 0);
28         signal rx_data_int, rx_data_nxt, rx_data_res_int, rx_data_res_nxt : uart_data;
29         
30 begin
31         -- syncronisierungs Prozess
32         rs232_rx_syn : process(sys_clk, sys_res_n)
33         begin
34                 if (sys_res_n = RESET_VALUE) then
35                         -- reset Zustand
36                         state <= IDLE;
37                         cnt <= 0;
38                         rx_data_res_int <= x"00";
39                         baud_cnt <= (others => '0');
40
41                 elsif rising_edge(sys_clk) then
42                         -- sync Zustand, uebernehmen der next-Signale
43                         state <= state_next;
44                         cnt <= cnt_next;
45                         baud_cnt <= baud_cnt_next;
46                         bus_rx_int <= bus_rx_last;
47                         rx_data_int <= rx_data_nxt;
48                         rx_data_res_int <= rx_data_res_nxt;
49                         new_rx_data <= new_rx_data_nxt;
50
51                 end if;
52         end process;
53
54         -- setzen des Ausgangsignals, Rxt-Daten
55         rx_data <= rx_data_res_int;
56
57         -- Zustandsmaschienen Prozess
58         rs232_states : process(sys_clk,state,cnt, bus_rx, bus_rx_last, baud_cnt,bus_rx_int,bd_rate)
59         begin
60                 state_next <= state;    -- mal schauen ob des so geht
61                 bus_rx_last <= bus_rx; -- mal schauen ob des so geht
62                 case state is
63                         when IDLE =>
64                                 -- nach einem Wechsel der rxt-Leitung von 1 auf 0 wird der einlese Vorgang
65                                 -- getriggert mithilfe eines Zustandsuebergangs von IDLE auf READ_START
66                                 if (bus_rx_last = '0' and bus_rx_int = '1') then
67                                         state_next <= READ_START;
68                                 end if;
69                         when READ_START =>
70                                 -- im READ_START Zustand wird eine halbe Bitzeit gewartet. Liegt auf der rxt-Leitung
71                                 -- immer noch die 0 an so wird mit deim Lesebvorgang mit einem Zustandswechsel von
72                                 -- READ_START nach READ_BIT vorgefahren, wenn eine 1 anliegt wird abgebrochen und
73                                 -- wieder nach IDLE gewechselt
74                                 
75                                 if (bus_rx = '0' and baud_cnt(BAUD_RATE_WIDTH-2 downto 0) = bd_rate(BAUD_RATE_WIDTH-1 downto 1)) then
76                                         state_next <= READ_BIT;
77                                 elsif (bus_rx = '1' and baud_cnt(BAUD_RATE_WIDTH-2 downto 0) = bd_rate(BAUD_RATE_WIDTH-1 downto 1)) then
78                                         state_next <= IDLE;
79                                 end if;
80                         when READ_BIT =>
81                                 -- hier werden mit Hilfe eines Countersignals 8 Datenbits im Abstand der eingestellten
82                                 -- Bitzeit eingelesen und gespeichert.
83                                 -- Nach beendigung wird in den Zustand READ_STOP gewechselt.
84                                 if (cnt = 7 and  baud_cnt = bd_rate) then
85                                         state_next <= READ_STOP;
86                                 else
87                                         state_next <= READ_BIT;
88                                 end if;
89                         when READ_STOP =>
90                                 -- hier wird nur noch auf das Stopbit abgewartet und gelesen um den
91                                 -- Lesevorgang koerrekt zu beenden
92                                 if baud_cnt = bd_rate and bus_rx = '1' then
93                                         state_next <= POST_STOP;
94                                 elsif baud_cnt = bd_rate and bus_rx = '0' then
95                                         state_next <= IDLE;
96                                 end if;
97                         when POST_STOP =>
98                                 -- hier wird nur noch eine halbe Bitzeit gewartet
99                                 if baud_cnt(BAUD_RATE_WIDTH-2 downto 0) = bd_rate(BAUD_RATE_WIDTH-1 downto 1) then
100                                         state_next <= IDLE;
101                                 end if;
102                 end case;
103         end process;
104
105         -- Ausgabe Logik
106         rs232_rx_baud : process(state, cnt, bus_rx, baud_cnt, rx_data_int, rx_data_res_int, bd_rate)
107         begin
108                 -- Signale halten um Latches zu vermeiden
109                 cnt_next <= cnt;
110                 new_rx_data_nxt <= '0';
111                 baud_cnt_next <= baud_cnt;
112                 rx_data_nxt <= rx_data_int;
113                 rx_data_res_nxt <= rx_data_res_int;
114                 -- Statewechesel wie obenbeschrieben
115                 case state is
116                         when IDLE =>
117                                 baud_cnt_next <= (others =>'0'); --0;
118                         when READ_START =>
119                                 -- baut_cnt zyklenweise erhoehen bis es einer halben Bitzeit entspricht
120                                 -- anschliessend zuruecksetzten
121                                 baud_cnt_next <= std_logic_vector(unsigned(baud_cnt) + 1);
122                                 if baud_cnt(BAUD_RATE_WIDTH-2 downto 0) = bd_rate(BAUD_RATE_WIDTH-1 downto 1) then 
123                                         baud_cnt_next <= (others => '0');
124                                         rx_data_nxt <= x"00";
125                                 end if;
126                         when READ_BIT =>
127                                 -- baut_cnt zyklenweise erhoehen bis es einer Bitzeit entspricht
128                                 -- anschliessend zuruecksetzen
129                                 -- Zustand der rxt-Leitung im rx_data_nxt abspeichern
130                                 baud_cnt_next <= std_logic_vector(unsigned(baud_cnt) + 1);
131                                 if baud_cnt = bd_rate then 
132                                         baud_cnt_next <= (others => '0');
133                                         cnt_next <= cnt+1;
134                                         rx_data_nxt(cnt) <= bus_rx;
135                                 end if;
136                         when READ_STOP =>
137                                 -- baut_cnt zyklenweise erhoehen bis es einer Bitzeit entspricht
138                                 -- anschliessend zuruecksetzen
139                                 -- Counter reseten
140                                 -- Signal fuer neue rx-Daten setzen um die send_logic zu aktivieren
141                                 cnt_next <= 0;
142                                 baud_cnt_next <= std_logic_vector(unsigned(baud_cnt) + 1);
143                                 if baud_cnt = bd_rate then 
144                                         baud_cnt_next <= (others => '0');
145                                 end if;
146                         when POST_STOP =>
147                                 --halbe bitzeit wartenr auf counter warten
148                                 baud_cnt_next <= baud_cnt + 1;
149                                 if baud_cnt(BAUD_RATE_WIDTH-2 downto 0) = bd_rate(BAUD_RATE_WIDTH-1 downto 1) then 
150                                         new_rx_data_nxt <= '1'; 
151                                         rx_data_res_nxt <= rx_data_int;
152                                         baud_cnt_next <= (others => '0');
153                                 end if;
154                 end case;
155         end process;
156
157 end architecture beh;
158