3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
8 architecture behaviour of rom is
10 subtype RAM_ENTRY_TYPE is std_logic_vector(DATA_WIDTH -1 downto 0);
11 type RAM_TYPE is array (0 to (2**ADDR_WIDTH)-1) of RAM_ENTRY_TYPE;
13 -- r0 = 0, r1 = 1, r2 = 3, r3 = A
15 signal rrrr_addr : std_logic_vector(31 downto 0);
20 if rising_edge(clk) then
21 -- data_out <= ram(to_integer(UNSIGNED(rd_addr)));
24 case rrrr_addr(10 downto 0) is
27 -- when "00000000000" => data_out <= "11101101000000000000000000000000"; --
28 -- when "00000000001" => data_out <= "11101101001000000000000000000000"; --
29 -- when "00000000010" => data_out <= "11100111101000000000000000000000"; --
31 -- when "00000000011" => data_out <= "11100001000000000000000000100001"; --
32 -- when "00000000100" => data_out <= "11101100100000000000001100000000"; --
33 -- when "00000000101" => data_out <= "00001011011111111111111010000011"; --
35 -- when "00000000110" => data_out <= "11101101000000000000000000001000"; --
36 -- when "00000000111" => data_out <= "11100111100000000000000000001111"; --
37 -- when "00000001000" => data_out <= "11100111100000000000000000010011"; -- --
40 -- when "00000001001" => data_out <= x"ed080048"; --x"ed080048"; --
41 -- when "00000001010" => data_out <= x"ed500080"; --
42 -- when "00000001011" => data_out <= x"fd500002"; --
43 -- when "00000001100" => data_out <= x"eb000107";
44 -- when "00000001101" => data_out <= "11101011000000000000011010000010"; --"11101011000000000000000000000010";
46 -- when "00000001110" => data_out <= x"e5088800";
47 -- when "00000001111" => data_out <= x"e0150800";
48 -- when "00000010000" => data_out <= x"e7010000";
49 -- when "00000010001" => data_out <= x"ec800000";
50 -- when "00000010010" => data_out <= x"0b000008";
51 -- when "00000010011" => data_out <= x"e1910020";
52 -- when "00000010100" => data_out <= x"eb7ffe07";
53 -- when "00000010101" => data_out <= x"e7197ffc";
54 -- when "00000010110" => data_out <= x"e0018000";
56 -- when "00000010111" => data_out <= x"e1110020";
57 -- when "00000011000" => data_out <= x"e7810000";
58 -- when "00000011001" => data_out <= x"eb00000a";
61 -- when "00000011010" => data_out <= x"ed290080";
62 -- when "00000011011" => data_out <= x"e1080000";
64 -- when "00000011100" => data_out <= x"e78a8000";
66 -- when "00000011101" => data_out <= x"ed510058";
67 -- when "00000011110" => data_out <= x"e7850000";
71 when "00000000000" => data_out <= x"ed010058";
72 when "00000000001" => data_out <= x"ed090060";
73 when "00000000010" => data_out <= x"e5860000"; --x"e7188000";
74 when "00000000011" => data_out <= x"e5a00000"; --x"ec1a0000";
75 when "00000000100" => data_out <= x"1b7ffe01";
76 when "00000000101" => data_out <= x"e7980000";
77 when "00000000110" => data_out <= x"e1218000";
78 when "00000000111" => data_out <= x"eb7ffc81";
81 when others => data_out <= "11101011000000000000000000000010";
90 rrrr_addr(10 downto 0) <= rd_addr;
91 rrrr_addr(31 downto 11) <= (others => '0');
92 end architecture behaviour;