Revert "bootromfun: stuff zum debuggen fuern stefan :/"
[calu.git] / cpu / src / rom_b.vhd
1 library ieee;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.mem_pkg.all;
7
8 architecture behaviour of rom is
9
10         signal vsim_bug : std_logic_vector(31 downto 0);
11         
12 begin
13         process(clk)
14         begin
15                 if rising_edge(clk) then
16 --               data_out <= ram(to_integer(UNSIGNED(rd_addr)));
17
18
19         --case rrrr_addr(10 downto 0) is
20                         case vsim_bug(6 downto 0) is
21         -- fibonacci
22 --                              when "00000000000" => data_out <= "11101101000000000000000000000000"; -- 
23 --                              when "00000000001" => data_out <= "11101101001000000000000000000000"; -- 
24 --                              when "00000000010" => data_out <= "11100111101000000000000000000000"; -- 
25 --                              
26 --                              when "00000000011" => data_out <= "11100001000000000000000000100001"; -- 
27 --                              when "00000000100" => data_out <= "11101100100000000000001100000000"; -- 
28 --                              when "00000000101" => data_out <= "00001011011111111111111010000011"; -- 
29 --                              
30 --                              when "00000000110" => data_out <= "11101101000000000000000000001000"; -- 
31 --                              when "00000000111" => data_out <= "11100111100000000000000000001111"; -- 
32 --                              when "00000001000" => data_out <= "11100111100000000000000000010011"; -- --
33 --
34 --                              
35 --                              when "00000001001" => data_out <= x"ed080048"; --x"ed080048"; -- 
36 --                              when "00000001010" => data_out <= x"ed500080"; -- 
37 --                              when "00000001011" => data_out <= x"fd500002"; -- 
38 --                              when "00000001100" => data_out <= x"eb000107";
39 --                              when "00000001101" => data_out <= "11101011000000000000011010000010"; --"11101011000000000000000000000010";
40 --                              
41 --                              when "00000001110" => data_out <= x"e5088800";
42 --                              when "00000001111" => data_out <= x"e0150800";
43 --                              when "00000010000" => data_out <= x"e7010000";
44 --                              when "00000010001" => data_out <= x"ec800000";
45 --                              when "00000010010" => data_out <= x"0b000008";
46 --                              when "00000010011" => data_out <= x"e1910020";
47 --                              when "00000010100" => data_out <= x"eb7ffe07";
48 --                              when "00000010101" => data_out <= x"e7197ffc";
49 --                              when "00000010110" => data_out <= x"e0018000";
50 --
51 --                              when "00000010111" => data_out <= x"e1110020";
52 --                              when "00000011000" => data_out <= x"e7810000";
53 --                              when "00000011001" => data_out <= x"eb00000a";
54 --                              
55 --                              
56 --                              when "00000011010" => data_out <= x"ed290080";
57 --                              when "00000011011" => data_out <= x"e1080000";
58 --
59 --                              when "00000011100" => data_out <= x"e78a8000";
60 --                              
61 --                              when "00000011101" => data_out <= x"ed510058";
62 --                              when "00000011110" => data_out <= x"e7850000";
63
64                         -- uart echo
65
66 --1;00000000;ed010058;ldi r0, 0x200B;;
67 --1;00000004;ed090060;ldi r1, 0x200C;;
68 --1;00000008;ed110080;ldi r2, 0x2010;;
69 --1;0000000c;e7188000;ldw r3, 0(r1);;
70 --1;00000010;ec1a0000;cmp r3, r4;;
71 --1;00000014;1b7ffd81;breq 0;;
72 --1;00000018;e7980000;stw r3, 0(r0);;
73 --1;0000001c;e7990000;stw r3, 0(r2);;
74 --1;00000020;e1218000;addi r4, r3, 0;;
75 --1;00000024;eb7ffb81;br 0;;
76                         
77 --                              when "0000000" => data_out <= x"ed010058";
78 --                              when "0000001" => data_out <= x"ed090060";
79 --                              when "0000010" => data_out <= x"ed110080"; --x"e7188000";  f
80 --                              when "0000011" => data_out <= x"e7188000"; --x"ec1a0000";
81 --                              when "0000100" => data_out <= x"ec1a0000";
82 --                              when "0000101" => data_out <= x"1b7ffe01";
83 --                              when "0000110" => data_out <= x"e7990000"; -- f
84 --                              when "0000111" => data_out <= x"e7980000";
85 --                              when "0001000" => data_out <= x"e1218000";
86 --                              when "0001001" => data_out <= x"eb7ffb81";
87
88 --1;00000000;ed010058;ldi r0, 0x200B;;;
89 --1;00000004;ed090060;ldi r1, 0x200C;;;
90 --1;00000008;ed110080;ldi r2, 0x2010;;;
91 --1;0000000c;ed390078;ldi r7, 0x200F;;;
92 --1;00000010;ed480012;ldih r9, 0x0002;;;
93 --1;00000014;e7438000;ldw r8, 0(r7);;;
94 --1;00000018;e254c000;and r10, r9, r8;;;
95 --1;0000001c;07188000;ldwnz r3, 0(r1);;;
96 --1;00000020;07980000;stwnz r3, 0(r0);;;
97 --1;00000024;07990000;stwnz r3, 0(r2);;;
98 --1;00000028;eb7ffb01;br 0;;;
99
100 --uart test:
101
102 --                              when "0000000" => data_out <= x"ed010058";
103 --                              when "0000001" => data_out <= x"ed090060";
104 --                              when "0000010" => data_out <= x"ed110080"; --x"e7188000";  f
105 --                              when "0000011" => data_out <= x"ed390000"; --x"ec1a0000";
106 --                              when "0000100" => data_out <= x"ed480012";
107 --                              when "0000101" => data_out <= x"e7438000";
108 --                              when "0000110" => data_out <= x"e254c000"; -- f
109 --                              when "0000111" => data_out <= x"07188000";
110 --                              when "0001000" => data_out <= x"07980000";
111 --                              when "0001001" => data_out <= x"07990000";
112 --                              when "0001010" => data_out <= x"eb7ffb81";
113
114 -------------------------------------------                             
115                                                         
116 --                              when "00000000000" => data_out <= x"ed000000";
117 --                              when "00000000001" => data_out <= x"ed080008";
118 --                              when "00000000010" => data_out <= x"e9880000"; --x"e7188000";  f
119 --                              when "00000000011" => data_out <= x"e5088400"; --x"ec1a0000";
120 --                              when "00000000100" => data_out <= x"e9880001";
121 --                              when "00000000101" => data_out <= x"e7180000";
122 --                              when "00000000110" => data_out <= x"e9200001"; -- f
123 --                              when "00000000111" => data_out <= x"e7a00004";
124 --                              when "00000001000" => data_out <= x"e7280004";
125 --                      --      when "00000001001" => data_out <= x"eb7ffb81";
126         when "0000000" => data_out <= x"eb000183"; -- br+ main
127         when "0000001" => data_out <= x"eb000103"; -- br+ main
128         when "0000010" => data_out <= x"eb000008"; -- ret
129         when "0000011" => data_out <= x"ed510000"; -- ldi r10, UART_BASE@lo
130         when "0000100" => data_out <= x"ed500002"; -- ldih r10, UART_BASE@hi
131         when "0000101" => data_out <= x"ed590180"; -- ldi r11, PBASE@lo
132         when "0000110" => data_out <= x"ed580002"; -- ldih r11, PBASE@hi
133         when "0000111" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10)
134         when "0001000" => data_out <= x"e2980010"; -- andx r3, UART_RECV_NEW
135         when "0001001" => data_out <= x"1b7fff03"; -- brzs+ poll
136         when "0001010" => data_out <= x"eb000985"; -- call recv_byte
137         when "0001011" => data_out <= x"e4088800"; -- xor r1, r1, r1
138         when "0001100" => data_out <= x"ec800240"; -- cmpi r0, 0x48 
139         when "0001101" => data_out <= x"1b001881"; -- breq- bt_H
140         when "0001110" => data_out <= x"eb7ffc81"; -- br poll 
141         when "0001111" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10)
142         when "0010000" => data_out <= x"e2980008"; -- andx r3, UART_TRANS_EMPTY
143         when "0010001" => data_out <= x"0b7fff03"; -- brnz+ send_byte 
144         when "0010010" => data_out <= x"e98d0008"; -- stb r1, UART_TRANS(r10)
145         when "0010011" => data_out <= x"eb000008"; -- ret
146         when "0010100" => data_out <= x"e5008008"; -- lrs r0, r1, 0
147         when "0010101" => data_out <= x"eb7ffd05"; -- call send_byte
148         when "0010110" => data_out <= x"e500a008"; -- lrs r0, r1, 8
149         when "0010111" => data_out <= x"eb7ffc05"; -- call send_byte
150         when "0011000" => data_out <= x"e500c008"; -- lrs r0, r1, 16
151         when "0011001" => data_out <= x"eb7ffb05"; -- call send_byte
152         when "0011010" => data_out <= x"e500e008"; -- lrs r0, r1, 24
153         when "0011011" => data_out <= x"eb7ffa05"; -- call send_byte
154         when "0011100" => data_out <= x"eb000008"; -- ret
155         when "0011101" => data_out <= x"e71d0000"; -- ldw r3, UART_STATUS(r10)
156         when "0011110" => data_out <= x"e2980010"; -- andx r3, UART_RECV_NEW
157         when "0011111" => data_out <= x"1b7fff03"; -- brzs+ recv_byte
158         when "0100000" => data_out <= x"e4000000"; -- xor r0, r0, r0
159         when "0100001" => data_out <= x"e905000c"; -- ldb r0, UART_RECV(r10)
160         when "0100010" => data_out <= x"eb000008"; -- ret
161         when "0100011" => data_out <= x"e4088800"; -- xor r1, r1, r1
162         when "0100100" => data_out <= x"eb7ffc85"; -- call recv_byte
163         when "0100101" => data_out <= x"e3080800"; -- or r1, r0, r1
164         when "0100110" => data_out <= x"eb7ffb85"; -- call recv_byte
165         when "0100111" => data_out <= x"e508a000"; -- lls r1, r1, 8
166         when "0101000" => data_out <= x"e3080800"; -- or r1, r0, r1
167         when "0101001" => data_out <= x"eb7ffa05"; -- call recv_byte
168         when "0101010" => data_out <= x"e508a000"; -- lls r1, r1, 8
169         when "0101011" => data_out <= x"e3080800"; -- or r1, r0, r1
170         when "0101100" => data_out <= x"eb7ff885"; -- call recv_byte
171         when "0101101" => data_out <= x"e508a000"; -- lls r1, r1, 8
172         when "0101110" => data_out <= x"e3080800"; -- or r1, r0, r1
173         when "0101111" => data_out <= x"e1008000"; -- addi r0, r1, 0
174         when "0110000" => data_out <= x"eb000008"; -- ret
175         when "0110001" => data_out <= x"eb7ff605"; -- call recv_byte
176         when "0110010" => data_out <= x"eb001701"; -- br tehend
177         when "0110011" => data_out <= x"e4088800"; -- xor r1, r1, r1
178         when "0110100" => data_out <= x"ec8002b8"; -- cmpi r0, 0x57 
179         when "0110101" => data_out <= x"1b000681"; -- breq- bt_W
180         when "0110110" => data_out <= x"ec800290"; -- cmpi r0, 0x52 
181         when "0110111" => data_out <= x"1b000901"; -- breq- bt_R
182         when "0111000" => data_out <= x"ec800288"; -- cmpi r0, 0x51 
183         when "0111001" => data_out <= x"1b000b81"; -- breq- bt_Q
184         when "0111010" => data_out <= x"ec8002a0"; -- cmpi r0, 0x54 
185         when "0111011" => data_out <= x"1b000e01"; -- breq- bt_T
186         when "0111100" => data_out <= x"ec800250"; -- cmpi r0, 0x4a 
187         when "0111101" => data_out <= x"1b001081"; -- breq- bt_J
188         when "0111110" => data_out <= x"ed080278"; -- ldi r1, 0x4f 
189         when "0111111" => data_out <= x"eb7fe805"; -- call send_byte
190         when "1000000" => data_out <= x"eb7fe785"; -- call send_byte
191         when "1000001" => data_out <= x"eb7ff801"; -- br bootrom
192         when "1000010" => data_out <= x"eb7ff085"; -- call recv_word 
193         when "1000011" => data_out <= x"e7858004"; -- stw r0, PADDR(r11)
194         when "1000100" => data_out <= x"eb7fef85"; -- call recv_word 
195         when "1000101" => data_out <= x"e7858008"; -- stw r0, PDATA(r11)
196         when "1000110" => data_out <= x"ed080220"; -- ldi r1, 0x44 
197         when "1000111" => data_out <= x"eb7fe405"; -- call send_byte
198         when "1001000" => data_out <= x"eb7ff481"; -- br bootrom
199         when "1001001" => data_out <= x"eb7fed05"; -- call recv_word 
200         when "1001010" => data_out <= x"e1100000"; -- mov r2, r0
201         when "1001011" => data_out <= x"ed080230"; -- ldi r1, 0x46 
202         when "1001100" => data_out <= x"eb7fe185"; -- call send_byte
203         when "1001101" => data_out <= x"ea090000"; -- ldx r1, 0(r2)
204         when "1001110" => data_out <= x"eb7fe305"; -- call send_word
205         when "1001111" => data_out <= x"eb7ff101"; -- br bootrom
206         when "1010000" => data_out <= x"eb7fe985"; -- call recv_word 
207         when "1010001" => data_out <= x"e1300000"; -- mov r6, r0
208         when "1010010" => data_out <= x"eb7fe885"; -- call recv_word 
209         when "1010011" => data_out <= x"e7830000"; -- stw r0, 0(r6)
210         when "1010100" => data_out <= x"ed080208"; -- ldi r1, 0x41 
211         when "1010101" => data_out <= x"eb7fdd05"; -- call send_byte
212         when "1010110" => data_out <= x"eb7fed81"; -- br bootrom
213         when "1010111" => data_out <= x"eb7fe605"; -- call recv_word 
214         when "1011000" => data_out <= x"e1100000"; -- mov r2, r0
215         when "1011001" => data_out <= x"ed080238"; -- ldi r1, 0x47 
216         when "1011010" => data_out <= x"eb7fda85"; -- call send_byte
217         when "1011011" => data_out <= x"e7090000"; -- ldw r1, 0(r2)
218         when "1011100" => data_out <= x"eb7fdc05"; -- call send_word
219         when "1011101" => data_out <= x"eb7fea01"; -- br bootrom
220         when "1011110" => data_out <= x"eb7fe285"; -- call recv_word
221         when "1011111" => data_out <= x"eb800000"; -- brr r0
222         -- just nop until rom end!
223         when others => data_out <= x"fd000000";
224                         end case;
225                 end if;
226         end process;
227         
228         vsim_bug(6 downto 0) <= rd_addr;
229         vsim_bug(31 downto 7) <= (others => '0');
230 end architecture behaviour;