uart: still wtf, aber hey, es ist wenigstens reproduzierbar :D (des zeug aus dem
[calu.git] / cpu / src / rom_b.vhd
1 library ieee;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.mem_pkg.all;
7
8 architecture behaviour of rom is
9
10         signal vsim_bug : std_logic_vector(31 downto 0);
11         
12 begin
13         process(clk)
14         begin
15                 if rising_edge(clk) then
16 --               data_out <= ram(to_integer(UNSIGNED(rd_addr)));
17
18
19         --case rrrr_addr(10 downto 0) is
20                         case vsim_bug(6 downto 0) is
21         -- fibonacci
22 --                              when "00000000000" => data_out <= "11101101000000000000000000000000"; -- 
23 --                              when "00000000001" => data_out <= "11101101001000000000000000000000"; -- 
24 --                              when "00000000010" => data_out <= "11100111101000000000000000000000"; -- 
25 --                              
26 --                              when "00000000011" => data_out <= "11100001000000000000000000100001"; -- 
27 --                              when "00000000100" => data_out <= "11101100100000000000001100000000"; -- 
28 --                              when "00000000101" => data_out <= "00001011011111111111111010000011"; -- 
29 --                              
30 --                              when "00000000110" => data_out <= "11101101000000000000000000001000"; -- 
31 --                              when "00000000111" => data_out <= "11100111100000000000000000001111"; -- 
32 --                              when "00000001000" => data_out <= "11100111100000000000000000010011"; -- --
33 --
34 --                              
35 --                              when "00000001001" => data_out <= x"ed080048"; --x"ed080048"; -- 
36 --                              when "00000001010" => data_out <= x"ed500080"; -- 
37 --                              when "00000001011" => data_out <= x"fd500002"; -- 
38 --                              when "00000001100" => data_out <= x"eb000107";
39 --                              when "00000001101" => data_out <= "11101011000000000000011010000010"; --"11101011000000000000000000000010";
40 --                              
41 --                              when "00000001110" => data_out <= x"e5088800";
42 --                              when "00000001111" => data_out <= x"e0150800";
43 --                              when "00000010000" => data_out <= x"e7010000";
44 --                              when "00000010001" => data_out <= x"ec800000";
45 --                              when "00000010010" => data_out <= x"0b000008";
46 --                              when "00000010011" => data_out <= x"e1910020";
47 --                              when "00000010100" => data_out <= x"eb7ffe07";
48 --                              when "00000010101" => data_out <= x"e7197ffc";
49 --                              when "00000010110" => data_out <= x"e0018000";
50 --
51 --                              when "00000010111" => data_out <= x"e1110020";
52 --                              when "00000011000" => data_out <= x"e7810000";
53 --                              when "00000011001" => data_out <= x"eb00000a";
54 --                              
55 --                              
56 --                              when "00000011010" => data_out <= x"ed290080";
57 --                              when "00000011011" => data_out <= x"e1080000";
58 --
59 --                              when "00000011100" => data_out <= x"e78a8000";
60 --                              
61 --                              when "00000011101" => data_out <= x"ed510058";
62 --                              when "00000011110" => data_out <= x"e7850000";
63
64                         -- uart echo
65
66 --1;00000000;ed010058;ldi r0, 0x200B;;
67 --1;00000004;ed090060;ldi r1, 0x200C;;
68 --1;00000008;ed110080;ldi r2, 0x2010;;
69 --1;0000000c;e7188000;ldw r3, 0(r1);;
70 --1;00000010;ec1a0000;cmp r3, r4;;
71 --1;00000014;1b7ffd81;breq 0;;
72 --1;00000018;e7980000;stw r3, 0(r0);;
73 --1;0000001c;e7990000;stw r3, 0(r2);;
74 --1;00000020;e1218000;addi r4, r3, 0;;
75 --1;00000024;eb7ffb81;br 0;;
76                         
77 --                              when "0000000" => data_out <= x"ed010058";
78 --                              when "0000001" => data_out <= x"ed090060";
79 --                              when "0000010" => data_out <= x"ed110080"; --x"e7188000";  f
80 --                              when "0000011" => data_out <= x"e7188000"; --x"ec1a0000";
81 --                              when "0000100" => data_out <= x"ec1a0000";
82 --                              when "0000101" => data_out <= x"1b7ffe01";
83 --                              when "0000110" => data_out <= x"e7990000"; -- f
84 --                              when "0000111" => data_out <= x"e7980000";
85 --                              when "0001000" => data_out <= x"e1218000";
86 --                              when "0001001" => data_out <= x"eb7ffb81";
87
88 --1;00000000;ed010058;ldi r0, 0x200B;;;
89 --1;00000004;ed090060;ldi r1, 0x200C;;;
90 --1;00000008;ed110080;ldi r2, 0x2010;;;
91 --1;0000000c;ed390078;ldi r7, 0x200F;;;
92 --1;00000010;ed480012;ldih r9, 0x0002;;;
93 --1;00000014;e7438000;ldw r8, 0(r7);;;
94 --1;00000018;e254c000;and r10, r9, r8;;;
95 --1;0000001c;07188000;ldwnz r3, 0(r1);;;
96 --1;00000020;07980000;stwnz r3, 0(r0);;;
97 --1;00000024;07990000;stwnz r3, 0(r2);;;
98 --1;00000028;eb7ffb01;br 0;;;
99
100 --uart test:
101
102 --                              when "0000000" => data_out <= x"ed010058";
103 --                              when "0000001" => data_out <= x"ed090060";
104 --                              when "0000010" => data_out <= x"ed110080"; --x"e7188000";  f
105 --                              when "0000011" => data_out <= x"ed390000"; --x"ec1a0000";
106 --                              when "0000100" => data_out <= x"ed480012";
107 --                              when "0000101" => data_out <= x"e7438000";
108 --                              when "0000110" => data_out <= x"e254c000"; -- f
109 --                              when "0000111" => data_out <= x"07188000";
110 --                              when "0001000" => data_out <= x"07980000";
111 --                              when "0001001" => data_out <= x"07990000";
112 --                              when "0001010" => data_out <= x"eb7ffb81";
113
114 -------------------------------------------                             
115                                                         
116 --                              when "00000000000" => data_out <= x"ed000000";
117 --                              when "00000000001" => data_out <= x"ed080008";
118 --                              when "00000000010" => data_out <= x"e9880000"; --x"e7188000";  f
119 --                              when "00000000011" => data_out <= x"e5088400"; --x"ec1a0000";
120 --                              when "00000000100" => data_out <= x"e9880001";
121 --                              when "00000000101" => data_out <= x"e7180000";
122 --                              when "00000000110" => data_out <= x"e9200001"; -- f
123 --                              when "00000000111" => data_out <= x"e7a00004";
124 --                              when "00000001000" => data_out <= x"e7280004";
125 --                      --      when "00000001001" => data_out <= x"eb7ffb81";
126         when "0000000" => data_out <= x"eb000183"; -- br+ main 
127         when "0000001" => data_out <= x"eb000103"; -- br+ main
128         when "0000010" => data_out <= x"eb000008"; -- ret
129         when "0000011" => data_out <= x"ed010000"; -- ldi r0, 0x2000 
130         when "0000100" => data_out <= x"ed090058"; -- ldi r1, 0x200b 
131         when "0000101" => data_out <= x"ed110060"; -- ldi r2, 0x200c 
132         when "0000110" => data_out <= x"e7280000"; -- ldw r5, 0(r0)
133         when "0000111" => data_out <= x"e2a80010"; -- andx r5, 0x2
134         when "0001000" => data_out <= x"1b7ffd83"; -- brzs+ main 
135         when "0001001" => data_out <= x"e7390000"; -- ldw r7, 0(r2) 
136         when "0001010" => data_out <= x"e7280000"; -- ldw r5, 0(r0)
137         when "0001011" => data_out <= x"e2a80008"; -- andx r5, 0x1
138         when "0001100" => data_out <= x"0b7fff03"; -- brnz+ uartnrdy 
139         when "0001101" => data_out <= x"e7b88000"; -- stw r7, 0(r1) 
140         when "0001110" => data_out <= x"eb7ffa81"; -- br main 
141         -- just nop until rom end!
142         when others => data_out <= x"fd000000";
143                         end case;
144                 end if;
145         end process;
146         
147         vsim_bug(6 downto 0) <= rd_addr;
148         vsim_bug(31 downto 7) <= (others => '0');
149 end architecture behaviour;