c995c109237913861106a9c3020ac2f45dfba914
[calu.git] / cpu / src / r_w_ram_b.vhd
1 library ieee;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.mem_pkg.all;
7
8 architecture behaviour of r_w_ram is
9
10         subtype RAM_ENTRY_TYPE is std_logic_vector(DATA_WIDTH -1 downto 0);
11         type RAM_TYPE is array (0 to (2**ADDR_WIDTH)-1) of RAM_ENTRY_TYPE;
12         
13                                                                         -- r0 = 0, r1 = 1, r2 = 3, r3 = A
14
15         signal ram : RAM_TYPE := (
16
17
18                         0 => "11101101000000000000000001011000", -- r0 = 11
19                         1 => "11101101000010000000000000111000", -- r1 = 7
20                         2 => "11100111100010000000000000000000", --stw
21                         3 => "11101101000000000000000000011000", -- r0 = 3
22                         4 => "11101101000010000000000001001000", -- r1 = 9
23                         5 => "11100111000010000000000000000000", --ldw
24                         6 => "11101101000000000000000000011000", -- r0 = 3
25                         7 => "11101101000010000000000001001000", -- r1 = 9
26                         --8 => "11100111100010000000000000000000", --stw
27 --      0 => "11101101000000000000000000000000",        --ldi
28 --      1 => "11101101001000000000000000000000",        --ldi
29 --      2 => "11100111101000000000000000000000",        --stw
30 --      3 => "11100001000000000000000000100001",
31 --      4 => "11101100100000000000001100000000",
32 --      5 => "00001011011111111111111010000011",
33 --      6 => "11101101000000000000000000001000",
34 --      7 => "11100111100000000000000000001111",
35 --      8 => "11100111100000000000000000010011",
36
37 --      9 => x"ed080048",       --;ldi r1, 9;;
38 --      10 => x"ed500080",      --;ldil r10, list@lo ;; global pointer
39 --      11 => x"fd500002",      --;ldih r10, list@hi;;
40 --      12 => x"eb000107",      --;call+ fibcall;;
41         --13 => x"eb7ffe03",    --;br+ main;;
42 --      13 => "11101011000000000000000000000010",       -- endless loop --2; fib(n) {
43                         --2;   if (list[n] > 0) {
44                         --2;    return list[n]
45                         --2;   }
46                         --2;   a = fib(n-1)
47                         --2;   list[n] = a + list[n-2]
48                         --2;   return list[n]
49                         --2; }
50                         --3;fibcall;
51                         --2;update counter for aligned access
52 --      14 => x"e5088800",      --;lls r1, r1, 2 ;; *4
53                         --2;calculate adress of top element
54 --      15 => x"e0150800",      --;add r2, r10, r1;;
55                         --3;fibmem;
56                         --2;load top element
57 --      16 => x"e7010000",      --;ldw r0, 0(r2);;
58                         --2;compare if set
59 --      17 => x"ec800000",      --;cmpi r0, 0;;
60                         --2;return if set
61 --      18 => x"0b000008",      --;retnz-;;
62                         --2;decrement adress for next lopp
63 --      19 => x"e1910020",      --;subi r2, r2, 4;;
64                         --2;iterative call for n-1 element
65 --      20 => x"eb7ffe07",      --;call+ fibmem;;
66                         --2;load n-2 element
67 --      21 => x"e7197ffc",      --;ldw r3, 0-4(r2);;
68                         --2;add n-1 and n-2 element
69 --      22 => x"e0018000",      --;add r0, r3, r0;;
70                         --2;increment address for n element
71                         --2;is needed because after return
72                         --2;we need r2 to be set to the address
73                         --2;of element n
74 --      23 => x"e1110020",      --;addi r2, r2, 4;;
75                         --2;store fib n
76 --      24 => x"e7810000",      --;stw r0, 0(r2);;
77 --      25 => x"eb00000a",      --;ret+;;
78
79 -- 1 1 2 3 5 8 13 21 34 55                         
80
81
82                                   others => x"F0000000");
83
84 --      signal ram : RAM_TYPE := (  0 => "11101101000000000000000000000000", -- r0 = 0
85 --
86 --                                  1 => "11101101000010000000000000111000", -- r1 = 7
87 --                                  2 => "11101101000100000000000000101000", -- r2 = 5
88 --                                  3 => "11101101000110000000000000100000", -- r3 = 4
89 --                                  4 => "11100000001000010001100000000000", -- r4 = r2 + r3
90 --                                  5 => "11100010001010100000100000000000", -- r5 = r4 and r1
91 --
92 --                                  6 => "11100001000000000000000000001000", -- r0 = r0 + 1
93 --                                  7 => "11101100100000000000000000011000", -- cmpi r0 , 2      
94 --
95 --                                  8 => "00001011011111111111110010000111", -- jump -7
96 --                                  9 => "11101011000000000000000010000010", -- jump +1
97 --                                 --10 => "11101011000000000000000010000010", -- jump +1
98 --
99   --                                 10 => "11100111101010100000000000000001", -- stw r5,r4,1
100         --                         11 => "11101100001000100000000000000000", -- cmp r4 , r4       => 2-2 => 1001
101 --
102 --                                 12 => "11101011000000000000000000000010", -- jump +0
103
104                                    
105
106
107 --                                others => x"F0000000");
108
109 --      signal ram : RAM_TYPE := (  0 => "11100000000100001000000000000000", --add r2, r1, r0    => r2 = 1
110 --                                  1 => "11100000000110001000000000000000", --add r3, r1, r0    => r3 = 1
111 --                                  2 => "11100000001000011001000000000000", --add r4, r3, r2    => r4 = 2
112 --                                  3 => "11100000000100001000000000000000", --add r2, r1, r0    => r2 = 1
113 --                                  4 => "11100000000110001000000000000000", --add r3, r1, r0    => r3 = 1
114 --                                  5 => "11100000001000011001000000000000", --add r4, r3, r2    => r4 = 2
115 --                                  6 => "11101100000000001000000000000000", --cmp r0 , r1       => 0-1 => 0100
116 --                                  7 => "00000000001010101010000000000001", --addnqd r5, r5, r4 => r5 = 2
117 --                                  8 => "00000000001010101010000000000000", --addnq r5, r5, r4  => r5 = 4
118 --                                  9 => "11101100001000100000000000000000", --cmp r4 , r4       => 2-2 => 1001
119 --                                 10 => "00000001001100001000000001010000", --addinq r6, r1, 0xA => nix
120 --                                 11 => "00010001001100001000000001010000", --addieq r6, r1, 0xA => r6 = 0xB
121 --                                 12 => "00010001101100110000000001010000", --subieq r6, r5, 0xA => r6 = 1
122 --                                 13 => "11100000000100001000000000000000", --add r2, r1, r0     => r2 = 1
123 --                                 14 => "11100010000100001000000000000000", --and r2, r1, r0     => r2 = 0
124 --                                 15 => "11101100000000001000000000000000", --cmp r0 , r1        => 0-1 => 0100
125 --                                 16 => "10000000001010101010000000000001", --addabd r5, r5, r4  => r5 = 6
126 --                                 17 => "10110011101110001000010000110001", --orxltd r7, 1086    => r7 = 1086
127 --                                 18 => "10110101001110001000010000000001", --shiftltd r7, r1, 1 => r7 = 2
128 --                                 19 => "01010101001110001000100000000001", --shiftltd r7, r1, 2 => r7 = 4
129 --                                others => x"F0000000");
130
131
132 begin
133         process(clk)
134         begin
135                 if rising_edge(clk) then
136                         data_out <= ram(to_integer(UNSIGNED(rd_addr)));
137                         
138                         if wr_en = '1' then
139                                 ram(to_integer(UNSIGNED(wr_addr))) <= data_in;
140                         end if;
141                 end if;
142         end process;
143 end architecture behaviour;