22e32f6776c0c03002b8bf8fcf841ce772b10806
[calu.git] / cpu / src / r_w_ram_b.vhd
1 library ieee;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.mem_pkg.all;
7
8 architecture behaviour of r_w_ram is
9
10         subtype RAM_ENTRY_TYPE is std_logic_vector(DATA_WIDTH -1 downto 0);
11         type RAM_TYPE is array (0 to (2**ADDR_WIDTH)-1) of RAM_ENTRY_TYPE;
12         
13                                                                         -- r0 = 0, r1 = 1, r2 = 3, r3 = A
14
15         signal ram : RAM_TYPE := (
16 --                      0 =>  x"ed2802d0", -- ldi r5, 0x5a;;
17 --                      1 =>  x"ed008058", -- ldi r0, 0x100b;;
18 --                      2 =>  x"e7a80000", -- stw r5, 0(r0);;
19 --                      3 =>  "11101011000000000000000000000010",
20
21                         --8 => "11100111100010000000000000000000", --stw
22 --      0 => "11101101000000000000000000000000",        --ldi
23 --      1 => "11101101001000000000000000000000",        --ldi
24 --      2 => "11100111101000000000000000000000",        --stw
25 --      3 => "11100001000000000000000000100001",
26 --      4 => "11101100100000000000001100000000",
27 --      5 => "00001011011111111111111010000011",
28 --      6 => "11101101000000000000000000001000",
29 --      7 => "11100111100000000000000000001111",
30 --      8 => "11100111100000000000000000010011",
31
32 --      9 => x"ed080048",       --;ldi r1, 9;;
33 --      10 => x"ed500080",      --;ldil r10, list@lo ;; global pointer
34 --      11 => x"fd500002",      --;ldih r10, list@hi;;
35 --      12 => x"eb000107",      --;call+ fibcall;;
36         --13 => x"eb7ffe03",    --;br+ main;;
37 --      13 => "11101011000000000000000000000010",       -- endless loop --2; fib(n) {
38                         --2;   if (list[n] > 0) {
39                         --2;    return list[n]
40                         --2;   }
41                         --2;   a = fib(n-1)
42                         --2;   list[n] = a + list[n-2]
43                         --2;   return list[n]
44                         --2; }
45                         --3;fibcall;
46                         --2;update counter for aligned access
47 --      14 => x"e5088800",      --;lls r1, r1, 2 ;; *4
48                         --2;calculate adress of top element
49 --      15 => x"e0150800",      --;add r2, r10, r1;;
50                         --3;fibmem;
51                         --2;load top element
52 --      16 => x"e7010000",      --;ldw r0, 0(r2);;
53                         --2;compare if set
54 --      17 => x"ec800000",      --;cmpi r0, 0;;
55                         --2;return if set
56 --      18 => x"0b000008",      --;retnz-;;
57                         --2;decrement adress for next lopp
58 --      19 => x"e1910020",      --;subi r2, r2, 4;;
59                         --2;iterative call for n-1 element
60 --      20 => x"eb7ffe07",      --;call+ fibmem;;
61                         --2;load n-2 element
62 --      21 => x"e7197ffc",      --;ldw r3, 0-4(r2);;
63                         --2;add n-1 and n-2 element
64 --      22 => x"e0018000",      --;add r0, r3, r0;;
65                         --2;increment address for n element
66                         --2;is needed because after return
67                         --2;we need r2 to be set to the address
68                         --2;of element n
69 --      23 => x"e1110020",      --;addi r2, r2, 4;;
70                         --2;store fib n
71 --      24 => x"e7810000",      --;stw r0, 0(r2);;
72 --      25 => x"eb00000a",      --;ret+;;
73
74 -- 1 1 2 3 5 8 13 21 34 55                         
75
76
77                                   others => x"F0000000");
78
79 --      signal ram : RAM_TYPE := (  0 => "11101101000000000000000000000000", -- r0 = 0
80 --
81 --                                  1 => "11101101000010000000000000111000", -- r1 = 7
82 --                                  2 => "11101101000100000000000000101000", -- r2 = 5
83 --                                  3 => "11101101000110000000000000100000", -- r3 = 4
84 --                                  4 => "11100000001000010001100000000000", -- r4 = r2 + r3
85 --                                  5 => "11100010001010100000100000000000", -- r5 = r4 and r1
86 --
87 --                                  6 => "11100001000000000000000000001000", -- r0 = r0 + 1
88 --                                  7 => "11101100100000000000000000011000", -- cmpi r0 , 2      
89 --
90 --                                  8 => "00001011011111111111110010000111", -- jump -7
91 --                                  9 => "11101011000000000000000010000010", -- jump +1
92 --                                 --10 => "11101011000000000000000010000010", -- jump +1
93 --
94   --                                 10 => "11100111101010100000000000000001", -- stw r5,r4,1
95         --                         11 => "11101100001000100000000000000000", -- cmp r4 , r4       => 2-2 => 1001
96 --
97 --                                 12 => "11101011000000000000000000000010", -- jump +0
98
99                                    
100
101
102 --                                others => x"F0000000");
103
104 --      signal ram : RAM_TYPE := (  0 => "11100000000100001000000000000000", --add r2, r1, r0    => r2 = 1
105 --                                  1 => "11100000000110001000000000000000", --add r3, r1, r0    => r3 = 1
106 --                                  2 => "11100000001000011001000000000000", --add r4, r3, r2    => r4 = 2
107 --                                  3 => "11100000000100001000000000000000", --add r2, r1, r0    => r2 = 1
108 --                                  4 => "11100000000110001000000000000000", --add r3, r1, r0    => r3 = 1
109 --                                  5 => "11100000001000011001000000000000", --add r4, r3, r2    => r4 = 2
110 --                                  6 => "11101100000000001000000000000000", --cmp r0 , r1       => 0-1 => 0100
111 --                                  7 => "00000000001010101010000000000001", --addnqd r5, r5, r4 => r5 = 2
112 --                                  8 => "00000000001010101010000000000000", --addnq r5, r5, r4  => r5 = 4
113 --                                  9 => "11101100001000100000000000000000", --cmp r4 , r4       => 2-2 => 1001
114 --                                 10 => "00000001001100001000000001010000", --addinq r6, r1, 0xA => nix
115 --                                 11 => "00010001001100001000000001010000", --addieq r6, r1, 0xA => r6 = 0xB
116 --                                 12 => "00010001101100110000000001010000", --subieq r6, r5, 0xA => r6 = 1
117 --                                 13 => "11100000000100001000000000000000", --add r2, r1, r0     => r2 = 1
118 --                                 14 => "11100010000100001000000000000000", --and r2, r1, r0     => r2 = 0
119 --                                 15 => "11101100000000001000000000000000", --cmp r0 , r1        => 0-1 => 0100
120 --                                 16 => "10000000001010101010000000000001", --addabd r5, r5, r4  => r5 = 6
121 --                                 17 => "10110011101110001000010000110001", --orxltd r7, 1086    => r7 = 1086
122 --                                 18 => "10110101001110001000010000000001", --shiftltd r7, r1, 1 => r7 = 2
123 --                                 19 => "01010101001110001000100000000001", --shiftltd r7, r1, 2 => r7 = 4
124 --                                others => x"F0000000");
125
126
127 begin
128         process(clk)
129         begin
130                 if rising_edge(clk) then
131                  --data_out <= ram(to_integer(UNSIGNED(rd_addr)));
132                         case rd_addr is
133                                 when "00000000000" => data_out <= x"ed2802d0"; -- ldi r5, 0x5a;;
134                                 when "00000000001" => data_out <= x"ed008058"; -- ldi r0, 0x100b;;
135                                 when "00000000010" => data_out <= x"e7a80000"; -- stw r5, 0(r0);;
136                                 when others => data_out <= "11101011000000000000000000000010";
137                         end case;
138                         
139                         if wr_en = '1' then
140                                 ram(to_integer(UNSIGNED(wr_addr))) <= data_in;
141                         end if;
142                 end if;
143         end process;
144 end architecture behaviour;