copyleft: gplv3 added and set repo to public
[calu.git] / cpu / src / r2_w_ram_b.vhd
1 --   `Deep Thought', a softcore CPU implemented on a FPGA
2 --
3 --  Copyright (C) 2010 Markus Hofstaetter <markus.manrow@gmx.at>
4 --  Copyright (C) 2010 Martin Perner <e0725782@student.tuwien.ac.at>
5 --  Copyright (C) 2010 Stefan Rebernig <stefan.rebernig@gmail.com>
6 --  Copyright (C) 2010 Manfred Schwarz <e0725898@student.tuwien.ac.at>
7 --  Copyright (C) 2010 Bernhard Urban <lewurm@gmail.com>
8 --
9 --  This program is free software: you can redistribute it and/or modify
10 --  it under the terms of the GNU General Public License as published by
11 --  the Free Software Foundation, either version 3 of the License, or
12 --  (at your option) any later version.
13 --
14 --  This program is distributed in the hope that it will be useful,
15 --  but WITHOUT ANY WARRANTY; without even the implied warranty of
16 --  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
17 --  GNU General Public License for more details.
18 --
19 --  You should have received a copy of the GNU General Public License
20 --  along with this program.  If not, see <http://www.gnu.org/licenses/>.
21
22 library ieee;
23
24 use IEEE.std_logic_1164.all;
25 use IEEE.numeric_std.all;
26
27 use work.mem_pkg.all;
28
29 architecture behaviour of r2_w_ram is
30
31         subtype RAM_ENTRY_TYPE is std_logic_vector(DATA_WIDTH -1 downto 0);
32         type RAM_TYPE is array (0 to (2**ADDR_WIDTH)-1) of RAM_ENTRY_TYPE;
33         
34         signal ram : RAM_TYPE := (
35                                 0 => x"00000000",
36                                 1 => x"00000000",
37                                 2 => x"00000000",
38                                 3 => x"00000000",
39                                 others=> (others => '0'));
40
41 begin
42         process(clk)
43         begin
44                 if rising_edge(clk) then
45                         data_out1 <= ram(to_integer(UNSIGNED(rd_addr1)));
46                         data_out2 <= ram(to_integer(UNSIGNED(rd_addr2)));
47                         
48                         if wr_en = '1' then
49                                 ram(to_integer(UNSIGNED(wr_addr))) <= data_in;
50                         end if;
51                 end if;
52         end process;
53 end architecture behaviour;