2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
5 use work.common_pkg.all;
8 architecture behaviour of gpm is
9 type gpm_internal is record
11 end record gpm_internal;
13 signal reg, reg_nxt : gpm_internal;
16 syn : process (clk, reset)
18 if (reset = RESET_VALUE) then
19 reg.status <= ('0','0','0','0');
20 elsif rising_edge(clk) then
25 asyn : process (clk, reset, alu_nxt)
27 reg_nxt.status <= alu_nxt.status;
32 end architecture behaviour;