f5931646ca09555a8dba1bd8a4d3f90efd1df54d
[calu.git] / cpu / src / extension_uart.vhd
1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4
5 use work.common_pkg.all;
6 use work.extension_pkg.all;
7 use work.extension_uart_pkg.all;
8
9
10 entity extension_uart is
11
12         generic (
13                         -- active reset value
14                         RESET_VALUE : std_logic
15                         );
16         port(
17                 --System inputs
18                         clk :   in std_logic;
19                         reset : in std_logic;
20                 -- general extension interface                  
21                         ext_reg  : in extmod_rec;
22                         data_out : out gp_register_t;
23
24                         uart_int : out std_logic;
25                 -- Input
26                         bus_rx : in std_logic;
27                 -- Ouput
28                         bus_tx : out std_logic
29                 );
30                 
31 end extension_uart;