f20a119af697a134d0e9484e4067fc00a1b4d554
[calu.git] / cpu / src / extension_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.common_pkg.all;
7 --use work.alu_pkg.all;
8 --use work.gpm_pkg.all;
9
10 package extension_pkg is
11
12 constant EXTWORDL : integer := log2c(4);
13 constant BYTEADDR : integer := log2c(4);
14 constant PCOUNT   : integer := 3;
15 constant EXTWORDS : integer := EXTWORDL + BYTEADDR;
16
17 subtype ext_addrid_t  is std_logic_vector(gp_register_t'high - EXTWORDS downto 0);
18 subtype ext_addr_t    is std_logic_vector((gp_register_t'high-BYTEADDR) downto 0);    
19 subtype paddr_t is std_logic_vector(log2c(PCOUNT)-1 downto 0);   
20
21         type extmod_rec is record
22                 sel   : std_logic;
23                 wr_en : std_logic;
24                 byte_en : byte_en_t;
25                 data : gp_register_t;
26                 addr : ext_addr_t;     
27         end record; 
28
29
30 type status_rec is record
31                 zero : std_logic;
32                 oflo : std_logic;
33                 sign : std_logic;
34                 carry : std_logic;
35 end record;
36
37 procedure put_word_be (tmp : out gp_register_t; signal reg : in gp_register_t; signal byte_en : byte_en_t);
38
39 -- Addressen der bis jetzt vorhanden extensions
40 constant EXT_UART_ADDR:   ext_addrid_t := x"0000200";
41 constant EXT_7SEG_ADDR:   ext_addrid_t := x"0000201";
42 constant EXT_INT_ADDR:    ext_addrid_t := x"0000202";
43 constant EXT_IMP_ADDR:    ext_addrid_t := x"0000203";
44 constant EXT_TIMER_ADDR:  ext_addrid_t := x"0000204";
45 -- dummy addressen
46 constant EXT_EXTMEM_ADDR: ext_addrid_t := x"FFFFFFB";
47 constant EXT_AC97_ADDR:   ext_addrid_t := x"FFFFFFD";
48 constant EXT_GPMP_ADDR:    ext_addrid_t := x"FFFFFFF";
49
50 component extension_gpm is
51         --some modules won't need all inputs/outputs
52         generic (
53                         -- active reset value
54                         RESET_VALUE : std_logic
55                         );
56         port(
57                 --System inputs
58                         clk :   in std_logic;
59                         reset : in std_logic;
60                 -- general extension interface                  
61                         ext_reg  : in extmod_rec;
62                          data_out : out gp_register_t;
63                 -- Input
64                         psw_nxt : in status_rec;
65                         paddr   : in paddr_t;
66                         pinc    : in std_logic;
67                         pwr_en  : in std_logic;
68                 -- Ouput
69                          psw     : out status_rec;
70                          pval    : out gp_register_t;
71                          pval_nxt : out gp_register_t
72                 );
73  end component extension_gpm;
74
75 component extension_interrupt is
76         --some modules won't need all inputs/outputs
77         generic (
78                         -- active reset value
79                         RESET_VALUE : std_logic
80                         );
81         port(
82                 --System inputs
83                         clk :   in std_logic;
84                         reset : in std_logic;
85                 -- general extension interface                  
86                         ext_reg  : in extmod_rec;
87                         data_out : out gp_register_t;
88                 -- Input
89                         uart_int : in std_logic;
90                 -- Ouput
91                         int_req : out interrupt_t
92                 );
93  end component extension_interrupt;
94
95
96 end package extension_pkg;
97
98 package body extension_pkg is
99         procedure put_word_be (tmp : out gp_register_t; signal reg : in gp_register_t; signal byte_en : byte_en_t) is
100         begin
101                 for i in 0 to 3 loop
102                         if byte_en(i) = '1' then
103                                 tmp(((i+1)*byte_t'length-1) downto i*byte_t'length) := reg(((i+1)*byte_t'length-1) downto i*byte_t'length);
104                         end if;
105                 end loop;
106         end;
107 end package body extension_pkg;