daa23061e03396faad20922c138bcb5e33e2a1ce
[calu.git] / cpu / src / extension_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.common_pkg.all;
7 use work.alu_pkg.all;
8 --use work.gpm_pkg.all;
9
10 package extension_pkg is
11
12 constant EXTWORDL : integer := log2c(4);
13 constant BYTEADDR : integer := log2c(4);
14 constant PCOUNT   : integer := log2c(4);
15 constant EXTWORDS : integer := EXTWORDL + BYTEADDR;
16
17 subtype ext_addrid_t  is std_logic_vector(gp_register_t'high - EXTWORDS downto 0);
18 subtype ext_addr_t    is std_logic_vector((gp_register_t'high-BYTEADDR) downto 0);    
19 subtype pointer_count is std_logic_vector(PCOUNT-1 downto 0);   
20
21         type extmod_rec is record
22                 sel   : std_logic;
23                 wr_en : std_logic;
24                 byte_en : std_logic_vector(gp_register_t'length/byte_t'length-1 downto 0); 
25                 data : gp_register_t;
26                 addr : ext_addr_t;     
27         end record; 
28
29
30
31
32 constant EXT_7SEG_ADDR:   ext_addrid_t := x"FFFFFFA";
33 constant EXT_EXTMEM_ADDR: ext_addrid_t := x"FFFFFFB";
34 constant EXT_TIMER_ADDR:  ext_addrid_t := x"FFFFFFC";
35 constant EXT_AC97_ADDR:   ext_addrid_t := x"FFFFFFD";
36 constant EXT_UART_ADDR:   ext_addrid_t := x"FFFFFFE";
37 constant EXT_GPMP_ADDR:    ext_addrid_t := x"FFFFFFF";
38
39  component extension_gpm is
40         --some modules won't need all inputs/outputs
41         generic (
42                         -- active reset value
43                         RESET_VALUE : std_logic
44                         );
45         port(
46                 --System inputs
47                         clk :   in std_logic;
48                         reset : in std_logic;
49                 -- general extension interface                  
50                         ext_reg  : in extmod_rec;
51                         data_out : out gp_register_t;
52                 -- Input
53                         alu_nxt : in alu_result_rec;
54                         paddr   : in paddr_t;
55                         pinc    : in std_logic;
56                         pwr_en  : in std_logic;
57                 -- Ouput
58                          psw     : out status_rec;
59                          pval    : out gp_register_t
60
61                         
62                 );
63  end component extension_gpm;
64
65
66
67 end package extension_pkg;