ba3921f1c3aa0909494aad3c4ecd0b6a52072fe5
[calu.git] / cpu / src / extension_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.common_pkg.all;
7 --use work.alu_pkg.all;
8 --use work.gpm_pkg.all;
9
10 package extension_pkg is
11
12 constant EXTWORDL : integer := log2c(4);
13 constant BYTEADDR : integer := log2c(4);
14 constant PCOUNT   : integer := 3;
15 constant EXTWORDS : integer := EXTWORDL + BYTEADDR;
16
17 subtype ext_addrid_t  is std_logic_vector(gp_register_t'high - EXTWORDS downto 0);
18 subtype ext_addr_t    is std_logic_vector((gp_register_t'high-BYTEADDR) downto 0);    
19 subtype paddr_t is std_logic_vector(log2c(PCOUNT)-1 downto 0);   
20
21         type extmod_rec is record
22                 sel   : std_logic;
23                 wr_en : std_logic;
24                 byte_en : byte_en_t;
25                 data : gp_register_t;
26                 addr : ext_addr_t;     
27         end record; 
28
29
30 type status_rec is record
31                 zero : std_logic;
32                 oflo : std_logic;
33                 sign : std_logic;
34                 carry : std_logic;
35 end record;
36
37 constant EXT_7SEG_ADDR:   ext_addrid_t := x"0000201";
38 constant EXT_EXTMEM_ADDR: ext_addrid_t := x"FFFFFFB";
39 constant EXT_TIMER_ADDR:  ext_addrid_t := x"FFFFFFC";
40 constant EXT_AC97_ADDR:   ext_addrid_t := x"FFFFFFD";
41 -- constant EXT_UART_ADDR:   ext_addrid_t := x"FFFFFFE";
42 constant EXT_UART_ADDR:   ext_addrid_t := x"0000200";
43 constant EXT_INT_ADDR:    ext_addrid_t := x"0000202";
44 constant EXT_GPMP_ADDR:    ext_addrid_t := x"FFFFFFF";
45
46 component extension_gpm is
47         --some modules won't need all inputs/outputs
48         generic (
49                         -- active reset value
50                         RESET_VALUE : std_logic
51                         );
52         port(
53                 --System inputs
54                         clk :   in std_logic;
55                         reset : in std_logic;
56                 -- general extension interface                  
57                         ext_reg  : in extmod_rec;
58                          data_out : out gp_register_t;
59                 -- Input
60                         psw_nxt : in status_rec;
61                         paddr   : in paddr_t;
62                         pinc    : in std_logic;
63                         pwr_en  : in std_logic;
64                 -- Ouput
65                          psw     : out status_rec;
66                          pval    : out gp_register_t;
67                          pval_nxt : out gp_register_t
68                 );
69  end component extension_gpm;
70
71 component extension_interrupt is
72         --some modules won't need all inputs/outputs
73         generic (
74                         -- active reset value
75                         RESET_VALUE : std_logic
76                         );
77         port(
78                 --System inputs
79                         clk :   in std_logic;
80                         reset : in std_logic;
81                 -- general extension interface                  
82                         ext_reg  : in extmod_rec;
83                         data_out : out gp_register_t;
84                 -- Input
85                         uart_int : in std_logic;
86                 -- Ouput
87                         int_req : out interrupt_t
88                 );
89  end component extension_interrupt;
90
91
92 end package extension_pkg;