907628385986da88e732f5702701db725f0264ec
[calu.git] / cpu / src / extension_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.common_pkg.all;
7 --use work.alu_pkg.all;
8 --use work.gpm_pkg.all;
9
10 package extension_pkg is
11
12 constant EXTWORDL : integer := log2c(4);
13 constant BYTEADDR : integer := log2c(4);
14 constant PCOUNT   : integer := 3;
15 constant EXTWORDS : integer := EXTWORDL + BYTEADDR;
16
17 subtype ext_addrid_t  is std_logic_vector(gp_register_t'high - EXTWORDS downto 0);
18 subtype ext_addr_t    is std_logic_vector((gp_register_t'high-BYTEADDR) downto 0);    
19 subtype paddr_t is std_logic_vector(log2c(PCOUNT)-1 downto 0);   
20
21         type extmod_rec is record
22                 sel   : std_logic;
23                 wr_en : std_logic;
24                 byte_en : byte_en_t;
25                 data : gp_register_t;
26                 addr : ext_addr_t;     
27         end record; 
28
29
30 type status_rec is record
31                 zero : std_logic;
32                 oflo : std_logic;
33                 sign : std_logic;
34                 carry : std_logic;
35 end record;
36
37
38 -- Addressen der bis jetzt vorhanden extensions
39 constant EXT_UART_ADDR:   ext_addrid_t := x"0000200";
40 constant EXT_7SEG_ADDR:   ext_addrid_t := x"0000201";
41 constant EXT_INT_ADDR:    ext_addrid_t := x"0000202";
42 constant EXT_IMP_ADDR:    ext_addrid_t := x"0000203";
43 -- dummy addressen
44 constant EXT_EXTMEM_ADDR: ext_addrid_t := x"FFFFFFB";
45 constant EXT_TIMER_ADDR:  ext_addrid_t := x"FFFFFFC";
46 constant EXT_AC97_ADDR:   ext_addrid_t := x"FFFFFFD";
47 constant EXT_GPMP_ADDR:    ext_addrid_t := x"FFFFFFF";
48
49 component extension_gpm is
50         --some modules won't need all inputs/outputs
51         generic (
52                         -- active reset value
53                         RESET_VALUE : std_logic
54                         );
55         port(
56                 --System inputs
57                         clk :   in std_logic;
58                         reset : in std_logic;
59                 -- general extension interface                  
60                         ext_reg  : in extmod_rec;
61                          data_out : out gp_register_t;
62                 -- Input
63                         psw_nxt : in status_rec;
64                         paddr   : in paddr_t;
65                         pinc    : in std_logic;
66                         pwr_en  : in std_logic;
67                 -- Ouput
68                          psw     : out status_rec;
69                          pval    : out gp_register_t;
70                          pval_nxt : out gp_register_t
71                 );
72  end component extension_gpm;
73
74 component extension_interrupt is
75         --some modules won't need all inputs/outputs
76         generic (
77                         -- active reset value
78                         RESET_VALUE : std_logic
79                         );
80         port(
81                 --System inputs
82                         clk :   in std_logic;
83                         reset : in std_logic;
84                 -- general extension interface                  
85                         ext_reg  : in extmod_rec;
86                         data_out : out gp_register_t;
87                 -- Input
88                         uart_int : in std_logic;
89                 -- Ouput
90                         int_req : out interrupt_t
91                 );
92  end component extension_interrupt;
93
94
95 end package extension_pkg;