uart : es sendet !!!!
[calu.git] / cpu / src / extension_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.common_pkg.all;
7 --use work.alu_pkg.all;
8 --use work.gpm_pkg.all;
9
10 package extension_pkg is
11
12 constant EXTWORDL : integer := log2c(4);
13 constant BYTEADDR : integer := log2c(4);
14 constant PCOUNT   : integer := 3;
15 constant EXTWORDS : integer := EXTWORDL + BYTEADDR;
16
17 subtype ext_addrid_t  is std_logic_vector(gp_register_t'high - EXTWORDS downto 0);
18 subtype ext_addr_t    is std_logic_vector((gp_register_t'high-BYTEADDR) downto 0);    
19 subtype paddr_t is std_logic_vector(log2c(PCOUNT)-1 downto 0);   
20
21         type extmod_rec is record
22                 sel   : std_logic;
23                 wr_en : std_logic;
24                 byte_en : std_logic_vector(gp_register_t'length/byte_t'length-1 downto 0); 
25                 data : gp_register_t;
26                 addr : ext_addr_t;     
27         end record; 
28
29
30 type status_rec is record
31                 zero : std_logic;
32                 oflo : std_logic;
33                 sign : std_logic;
34                 carry : std_logic;
35 end record;
36
37 constant EXT_7SEG_ADDR:   ext_addrid_t := x"FFFFFFA";
38 constant EXT_EXTMEM_ADDR: ext_addrid_t := x"FFFFFFB";
39 constant EXT_TIMER_ADDR:  ext_addrid_t := x"FFFFFFC";
40 constant EXT_AC97_ADDR:   ext_addrid_t := x"FFFFFFD";
41 --constant EXT_UART_ADDR:   ext_addrid_t := x"FFFFFFE";
42 constant EXT_UART_ADDR:   ext_addrid_t := x"0000000";
43 constant EXT_GPMP_ADDR:    ext_addrid_t := x"FFFFFFF";
44
45  component extension_gpm is
46         --some modules won't need all inputs/outputs
47         generic (
48                         -- active reset value
49                         RESET_VALUE : std_logic
50                         );
51         port(
52                 --System inputs
53                         clk :   in std_logic;
54                         reset : in std_logic;
55                 -- general extension interface                  
56                         ext_reg  : in extmod_rec;
57                          data_out : out gp_register_t;
58                 -- Input
59                         psw_nxt : in status_rec;
60                         paddr   : in paddr_t;
61                         pinc    : in std_logic;
62                         pwr_en  : in std_logic;
63                 -- Ouput
64                          psw     : out status_rec;
65                          pval    : out gp_register_t;
66                          pval_nxt : out gp_register_t
67                 );
68  end component extension_gpm;
69
70
71
72 end package extension_pkg;