spartan3e/lcd: (hw) signale per ext.modul rausziehen (sw) rest
[calu.git] / cpu / src / extension_lcd_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.common_pkg.all;
7 use work.extension_pkg.all;
8
9 package extension_lcd_pkg is
10         component extension_lcd is
11         generic ( RESET_VALUE : std_logic);
12         port(
13                         --System inputs
14                         clk :   in std_logic;
15                         reset : in std_logic;
16                         -- general extension interface
17                         ext_reg  : in extmod_rec;
18                         data_out : out gp_register_t;
19                         -- out lcd
20                         lcd_data : out std_logic_vector(6 downto 0)
21                 );
22         end component extension_lcd;
23 end package extension_lcd_pkg;