8957b8bab1fd62721da8a74d77fbb135275c489c
[calu.git] / cpu / src / extension_imp_pkg.vhd
1 --   `Deep Thought', a softcore CPU implemented on a FPGA
2 --
3 --  Copyright (C) 2010 Markus Hofstaetter <markus.manrow@gmx.at>
4 --  Copyright (C) 2010 Martin Perner <e0725782@student.tuwien.ac.at>
5 --  Copyright (C) 2010 Stefan Rebernig <stefan.rebernig@gmail.com>
6 --  Copyright (C) 2010 Manfred Schwarz <e0725898@student.tuwien.ac.at>
7 --  Copyright (C) 2010 Bernhard Urban <lewurm@gmail.com>
8 --
9 --  This program is free software: you can redistribute it and/or modify
10 --  it under the terms of the GNU General Public License as published by
11 --  the Free Software Foundation, either version 3 of the License, or
12 --  (at your option) any later version.
13 --
14 --  This program is distributed in the hope that it will be useful,
15 --  but WITHOUT ANY WARRANTY; without even the implied warranty of
16 --  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
17 --  GNU General Public License for more details.
18 --
19 --  You should have received a copy of the GNU General Public License
20 --  along with this program.  If not, see <http://www.gnu.org/licenses/>.
21
22 library IEEE;
23
24 use IEEE.std_logic_1164.all;
25 use IEEE.numeric_std.all;
26
27 use work.common_pkg.all;
28 use work.extension_pkg.all;
29
30 package extension_imp_pkg is
31
32  component extension_imp is
33         --some modules won't need all inputs/outputs
34         generic (
35                         -- active reset value
36                         RESET_VALUE : std_logic
37                         );
38         port(
39                 --System inputs
40                         clk :   in std_logic;
41                         reset : in std_logic;
42                 -- general extension interface                  
43                         ext_reg  : in extmod_rec;
44                         data_out : out gp_register_t;
45                 -- Input
46                         
47                 -- Ouput
48                         im_addr : out gp_register_t;
49                         im_data : out gp_register_t;
50                         new_im_data_out : out std_logic 
51                 );
52  end component extension_imp;
53
54
55 end package extension_imp_pkg;