2 use IEEE.std_logic_1164.all;
\r
3 use IEEE.numeric_std.all;
\r
5 use work.common_pkg.all;
\r
6 use work.extension_pkg.all;
\r
7 use work.extension_7seg_pkg.all;
\r
9 entity extension_7seg is
\r
12 RESET_VALUE : std_logic
\r
16 sys_clk : in std_logic;
\r
17 sys_res_n : in std_logic;
\r
18 -- general extension interface
\r
19 ext_reg : in extmod_rec;
\r
20 -- data_out : out gp_register_t;
\r
22 -- val : in std_logic_vector(4 downto 0);
\r
23 -- pos : in std_logic_vector(1 downto 0);
\r
26 o_digit0 : out std_logic_vector(0 to 6);
\r
27 o_digit1 : out std_logic_vector(0 to 6);
\r
28 o_digit2 : out std_logic_vector(0 to 6);
\r
29 o_digit3 : out std_logic_vector(0 to 6)
\r