911bd00678565ed0afc46de313a369fb8d92ef33
[calu.git] / cpu / src / decoder_b.vhd
1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4
5 use work.mem_pkg.all;
6 use work.core_pkg.all;
7 use work.common_pkg.all;
8
9
10 architecture behav_d of decoder is
11
12 begin
13
14 split_instr: process(instruction)
15
16 variable instr_s : instruction_rec;
17
18 begin
19
20         instr_s.predicates := instruction(31 downto 28);
21         instr_s.opcode := instruction(27 downto 27-OPCODE_WIDTH+1);
22
23         instr_s.reg_dest_addr := (others => '0');
24         instr_s.reg_src1_addr := (others => '0');
25         instr_s.reg_src2_addr := (others => '0');
26
27         instr_s.immediate := (others => '0');
28         instr_s.jmptype := (others => '0');
29         instr_s.high_low := '0';
30         instr_s.fill := '0';
31         instr_s.signext := '0';
32         instr_s.bp := '0';
33         instr_s.op_detail := (others => '0');
34         instr_s.displacement := (others => '0');
35
36         instr_s.op_group := ADDSUB_OP;
37
38 --      type op_info_t is (ADDSUB_OP,AND_OP,OR_OP, XOR_OP,SHIFT_OP);
39
40 --  special function register operations missing
41
42 --      case opcode is
43 --=================================================================
44         if (instr_s.opcode = "00000" or instr_s.opcode = "00001" or instr_s.opcode = "00100" or instr_s.opcode = "00110" or instr_s.opcode = "01000") then
45 --      when "00000" =>         --add
46                 instr_s.reg_dest_addr := instruction(22 downto 19);
47                 instr_s.reg_src1_addr := instruction(18 downto 15);
48                 instr_s.reg_src2_addr := instruction(14 downto 11);
49
50                 instr_s.op_detail(NO_PSW_OPT) := instruction(0); --instr_s.sreg_update;
51                 
52                 instr_s.op_group := ADDSUB_OP;
53
54                 if (instr_s.opcode = "00000") then              
55                         instr_s.op_detail(CARRY_OPT) := instruction(1); --instr_s.carry;
56                 end if;
57
58                 if (instr_s.opcode = "00001") then
59                         instr_s.op_detail(SUB_OPT) := '1';
60                         instr_s.op_detail(CARRY_OPT) := instruction(1); --instr_s.carry;
61                 end if;
62
63                 if (instr_s.opcode = "00100") then
64                         instr_s.op_group := AND_OP;
65                 end if;
66                 
67                 if (instr_s.opcode = "00110") then
68                         instr_s.op_group := OR_OP;
69                 end if;
70                 
71                 if (instr_s.opcode = "01000") then
72                         instr_s.op_group := XOR_OP;
73                 end if;
74
75         end if;
76 --      when "00001" =>         --sub
77 --              instr_s.reg_dest_addr := instruction(22 downto 19);
78 --              instr_s.reg_src1_addr := instruction(18 downto 15);
79 --              instr_s.reg_src2_addr := instruction(14 downto 11);
80 --              instr_s.carry := instruction(1);
81 --              instr_s.sreg_update := instruction(0);
82
83
84 --      when "00100" =>         --and
85 --              instr_s.reg_dest_addr := instruction(22 downto 19);
86 --              instr_s.reg_src1_addr := instruction(18 downto 15);
87 --              instr_s.reg_src2_addr := instruction(14 downto 11);
88 --              instr_s.carry := instruction(1);                        --negligible
89 --              instr_s.sreg_update := instruction(0);
90
91 --      when "00110" =>         --or
92 --              instr_s.reg_dest_addr := instruction(22 downto 19);
93 --              instr_s.reg_src1_addr := instruction(18 downto 15);
94 --              instr_s.reg_src2_addr := instruction(14 downto 11);
95 --              instr_s.carry := instruction(1);                        --negligible
96 --              instr_s.sreg_update := instruction(0);
97
98 --      when "01000" =>         --xor
99 --              instr_s.reg_dest_addr := instruction(22 downto 19);
100 --              instr_s.reg_src1_addr := instruction(18 downto 15);
101 --              instr_s.reg_src2_addr := instruction(14 downto 11);
102 --              instr_s.carry := instruction(1);                        --negligible
103 --              instr_s.sreg_update := instruction(0);
104
105 --=================================================================
106         if (instr_s.opcode = "00010" or instr_s.opcode = "00011") then
107
108 --      when "00010" =>         --addi
109                 instr_s.reg_dest_addr := instruction(22 downto 19);
110                 instr_s.reg_src1_addr := instruction(18 downto 15);
111                 instr_s.immediate(11 downto 0) := instruction(14 downto 3);
112                 instr_s.signext := instruction(2);              
113
114                 if (instr_s.signext = '1' and instr_s.immediate(11) = '1') then
115                         instr_s.immediate(31 downto 12) := (others => '1');
116                 end if;
117
118                 instr_s.op_detail(IMM_OPT) := '1';
119                 instr_s.op_detail(CARRY_OPT) := instruction(1);
120                 instr_s.op_detail(NO_PSW_OPT) := instruction(0);
121
122                 instr_s.op_group := ADDSUB_OP;
123
124                 if (instr_s.opcode = "00011") then
125                         instr_s.op_detail(SUB_OPT) := '1';
126                 end if;
127         end if;
128
129 --      when "00011" =>         --subi
130 --              instr_s.reg_dest_addr := instruction(22 downto 19);
131 --              instr_s.reg_src1_addr := instruction(18 downto 15);
132 --              instr_s.immediate(11 downto 0) := instruction(14 downto 3);
133 --              instr_s.signext := instruction(2);              
134 --              instr_s.carry := instruction(1);
135 --              instr_s.sreg_update := instruction(0);
136
137
138
139
140 --=================================================================
141         if (instr_s.opcode = "00101" or instr_s.opcode = "00111" or instr_s.opcode = "01001") then
142
143 --      when "00101" =>         --andx
144                 instr_s.reg_dest_addr := instruction(22 downto 19);
145                 instr_s.reg_src1_addr := instruction(22 downto 19);
146                 instr_s.immediate(15 downto 0) := instruction(18 downto 3);
147                 instr_s.high_low := instruction(2);             
148                 instr_s.fill := instruction(1);
149
150                 if (instr_s.fill = '1') then
151                         instr_s.immediate(31 downto 16) := (others => '1');
152                 end if;
153
154                 instr_s.op_detail(IMM_OPT) := '1';
155                 instr_s.op_detail(NO_PSW_OPT) := instruction(0);
156
157                 if (instr_s.opcode = "00111") then
158                         instr_s.op_group := AND_OP;
159                 end if;
160
161                 if (instr_s.opcode = "00111") then
162                         instr_s.op_group := OR_OP;
163                 end if;
164
165                 if (instr_s.opcode = "01001") then
166                         instr_s.op_group := XOR_OP;
167                 end if;
168         end if;
169
170 --      when "00111" =>         --orx
171 --              instr_s.reg_dest_addr := instruction(22 downto 19);
172 --              instr_s.reg_src1_addr := instruction(22 downto 19);
173 --              instr_s.immediate(15 downto 0) := instruction(18 downto 3);
174 --              instr_s.high_low := instruction(2);             
175 --              instr_s.fill := instruction(1);
176 --              instr_s.sreg_update := instruction(0);
177 --
178 --      when "01001" =>         --xorx
179 --              instr_s.reg_dest_addr := instruction(22 downto 19);
180 --              instr_s.reg_src1_addr := instruction(22 downto 19);
181 --              instr_s.immediate(15 downto 0) := instruction(18 downto 3);
182 --              instr_s.high_low := instruction(2);             
183 --              instr_s.fill := instruction(1);
184 --              instr_s.sreg_update := instruction(0);
185 --
186 --=================================================================
187         if (instr_s.opcode = "01010" or instr_s.opcode = "01011") then
188
189 --      when "01010" =>         --shift
190                 instr_s.reg_dest_addr := instruction(22 downto 19);
191                 instr_s.reg_src1_addr := instruction(18 downto 15);
192                 instr_s.immediate(4 downto 0) := instruction(14 downto 10);
193
194                 instr_s.op_detail(RIGHT_OPT) := instruction(3);
195                 instr_s.op_detail(NO_PSW_OPT) := instruction(0);
196                 instr_s.op_detail(CARRY_OPT) := instruction(1);
197                 instr_s.op_detail(ARITH_OPT) := instruction(2);
198                 instr_s.op_detail(IMM_OPT) := '1';
199
200                 instr_s.op_group := SHIFT_OP;
201         end if;
202
203 --      when "01011" =>         --stackop
204 --              instr_s.reg_dest_addr := instruction(22 downto 19);
205 --              instr_s.reg_src1_addr := instruction(22 downto 19);
206 --              instr_s.immediate(1 downto 0) := instruction(18 downto 17);
207 --              instr_s.left_right := instruction(3);           
208 --              instr_s.arith := instruction(2);                
209 --              instr_s.carry := instruction(1);
210 --              instr_s.sreg_update := instruction(0);
211
212 --=================================================================
213         if (instr_s.opcode = "01110" or instr_s.opcode = "10000" or instr_s.opcode = "10010" or instr_s.opcode = "11010") then
214
215 --      when "01110" =>         --ldw
216                 instr_s.reg_dest_addr := instruction(22 downto 19);
217                 instr_s.reg_src1_addr := instruction(18 downto 15);
218                 instr_s.signext := instruction(2);
219                 instr_s.high_low := instruction(1);
220                 instr_s.displacement(14 downto 0) := instruction(14 downto 0);
221
222                 instr_s.op_group := LDST_OP;
223                 instr_s.op_detail(NO_PSW_OPT) := '1';
224
225                 if (instr_s.displacement(14) = '1') then
226                         instr_s.displacement(31 downto 15) := (others => '1');
227                 end if;
228
229                 if (instr_s.opcode = "11010") then              --ldi
230                         if (instr_s.high_low = '1') then
231                                 instr_s.immediate(31 downto 16) := instruction(18 downto 3);
232                                 instr_s.immediate(15 downto 0) := (others => '0');
233                         else
234                                 instr_s.immediate(15 downto 0) := instruction(18 downto 3);
235                                 instr_s.immediate(31 downto 16) := (others => '0');
236
237                                 --instr_s.immediate(11 downto 0) := instruction(14 downto 3);
238                                 --instr_s.immediate(WORD_WIDTH-1 downto 12) := (others => '0');
239                         end if;                 
240
241                         if (instr_s.signext = '1' and instr_s.immediate(11) = '1') then
242                                 instr_s.immediate(31 downto 16) := (others => '1');
243                         end if;
244
245                         instr_s.op_detail(IMM_OPT) := '1';
246                 end if;
247         end if;
248
249 --      when "10000" =>         --ldh
250 --              instr_s.reg_dest_addr := instruction(22 downto 19);
251 --              instr_s.reg_src1_addr := instruction(18 downto 15);
252 --              instr_s.displacement(14 downto 0) := instruction(14 downto 0);
253 --              instr_s.immediate(15 downto 0) := instruction(18 downto 3);
254 --              instr_s.signext := instruction(2);
255 --              instr_s.high_low := instruction(1);
256
257 --      when "10010" =>         --ldb
258 --              instr_s.reg_dest_addr := instruction(22 downto 19);
259 --              instr_s.reg_src1_addr := instruction(18 downto 15);
260 --              instr_s.displacement(14 downto 0) := instruction(14 downto 0);
261 --              instr_s.immediate(15 downto 0) := instruction(18 downto 3);
262 --              instr_s.signext := instruction(2);
263 --              instr_s.high_low := instruction(1);
264
265 --      when "11010" =>         --ldi
266 --              instr_s.reg_dest_addr := instruction(22 downto 19);
267 --              instr_s.reg_src1_addr := instruction(18 downto 15);
268 --              instr_s.displacement(14 downto 0) := instruction(14 downto 0);
269 --              instr_s.immediate(15 downto 0) := instruction(18 downto 3);
270 --              instr_s.signext := instruction(2);
271 --              instr_s.high_low := instruction(1);
272
273 --=================================================================
274         if (instr_s.opcode = "01111" or instr_s.opcode = "10001" or instr_s.opcode = "10011" or instr_s.opcode = "10101") then
275
276         --when "01111" =>               --stw
277                 instr_s.reg_src2_addr := instruction(22 downto 19);     -- register value
278                 instr_s.reg_src1_addr := instruction(18 downto 15);     -- mem addr
279                 instr_s.displacement(14 downto 0) := instruction(14 downto 0);
280                 instr_s.op_detail(NO_PSW_OPT) := '1';
281                 instr_s.op_detail(ST_OPT) := '1';
282                 instr_s.op_group := LDST_OP;
283
284                 if (instr_s.displacement(14) = '1') then
285                         instr_s.displacement(31 downto 15) := (others => '1');
286                 end if;
287         end if;
288
289 --      when "10001" =>         --sth
290 --              instr_s.reg_src1_addr := instruction(22 downto 19);
291 --              instr_s.reg_src2_addr := instruction(18 downto 15);
292 --              instr_s.displacement(14 downto 0) := instruction(14 downto 0);
293
294 --      when "10011" =>         --stb
295 --              instr_s.reg_src1_addr := instruction(22 downto 19);
296 --              instr_s.reg_src2_addr := instruction(18 downto 15);
297 --              instr_s.displacement(14 downto 0) := instruction(14 downto 0);
298
299 --      when "10101" =>         --stx
300 --              instr_s.reg_src1_addr := instruction(22 downto 19);
301 --              instr_s.reg_src2_addr := instruction(18 downto 15);
302 --              instr_s.displacement(14 downto 0) := instruction(14 downto 0);
303
304 --=================================================================
305         if (instr_s.opcode = "10110" or instr_s.opcode = "10111") then
306
307 --      when "10110" =>         --jumpop
308                 instr_s.reg_src1_addr := instruction(22 downto 19);     -- register value
309                 instr_s.immediate(15 downto 0) := instruction(22 downto 7);
310                 instr_s.bp := instruction(1);
311                 instr_s.jmptype := instruction(3 downto 2);
312                 instr_s.signext := instruction(0);
313                 instr_s.op_detail(NO_PSW_OPT) := '1';
314                 
315
316                 if (instr_s.opcode = "10110") then
317                         instr_s.op_detail(IMM_OPT) := '1';      
318                 else
319                         instr_s.immediate(31 downto 0) := (others => '0');
320                         instr_s.op_detail(JMP_REG_OPT) := '1';
321                         instr_s.op_detail(IMM_OPT) := '1';      
322                 end if;
323
324                 if (instr_s.signext = '1' and instr_s.immediate(15) = '1') then
325                         instr_s.immediate(31 downto 16) := (others => '1');
326                 end if;
327
328                 if (instr_s.jmptype = "00") then
329 --                      instr_s.op_detail(SUB_OPT) := not instr_s.opcode(0);
330                         instr_s.op_group := JMP_OP;
331                 end if;
332                 
333                 if (instr_s.jmptype = "01") then
334                         instr_s.op_group := JMP_ST_OP;
335                 --      instr_s.op_detail(RET_OPT) := '0';
336                 end if;
337
338                 if (instr_s.jmptype = "10") then
339                         instr_s.op_group := JMP_ST_OP;
340                         instr_s.op_detail(RET_OPT) := '1';
341                 end if;
342
343                 if (instr_s.predicates = "1111" or instr_s.jmptype = "10") then
344                         instr_s.bp := '0';
345                 end if;
346         end if;
347
348 --      when "10111" =>         --brreg
349 --              instr_s.reg_src1_addr := instruction(22 downto 19);     -- register value
350 --              instr_s.immediate(15 downto 0) := instruction(22 downto 7);     -- negligible
351 --              instr_s.bp := instruction(1);                           -- negligible
352 --              instr_s.jmptype := instruction(3 downto 2);             -- only lsb
353 --              instr_s.signext := instruction(0);                      -- negligible
354
355 --=================================================================
356         if (instr_s.opcode = "11000" or instr_s.opcode = "11001") then
357
358 --      when "11000" =>         --cmp
359                 instr_s.reg_src1_addr := instruction(22 downto 19);
360                 instr_s.reg_src2_addr := instruction(18 downto 15);
361
362                 if (instr_s.opcode = "11001") then
363                         instr_s.immediate(15 downto 0) := instruction(18 downto 3);
364                         instr_s.signext := instruction(2);              
365
366                         if (instr_s.signext = '1' and instr_s.immediate(15) = '1') then
367                                 instr_s.immediate(31 downto 16) := (others => '1');
368                         end if;
369
370                         instr_s.op_detail(IMM_OPT) := '1';
371                 end if;
372                 instr_s.op_detail(NO_DST_OPT) := '1';
373                 instr_s.op_group := ADDSUB_OP;
374                 instr_s.op_detail(SUB_OPT) := '1';
375
376         end if;
377
378 --      when "11001" =>         --cmpi
379 --              instr_s.reg_src1_addr := instruction(22 downto 19);
380 --              instr_s.reg_src2_addr := instruction(18 downto 15);
381 --              instr_s.immediate(15 downto 0) := instruction(18 downto 3);
382
383 --      when others => null;
384
385 --      end case;
386
387
388
389         instr_spl <= instr_s;
390
391 end process;
392
393 end behav_d;
394
395
396 --===========================================================================
397
398