4be1c3ec0dbde87c1b7ae9b380ea496891df82f2
[calu.git] / cpu / src / decode_stage.vhd
1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4
5 entity decode_stage is
6
7         generic (
8                         -- active reset value
9                         RESET_VALUE : std_logic;
10                         -- active logic value
11                         LOGIC_ACT : std_logic;
12                         
13                         );
14         port(
15                 --System inputs
16                         clk : in std_logic;
17                         reset : in std_logic;
18                 );
19                 
20 end decode_stage;