dd6350c8a020a5508e153033b99ed10aa185ed41
[calu.git] / cpu / src / common_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 package common_pkg is
7
8
9         
10         constant WORD_WIDTH   : INTEGER := 32;
11         constant HWORD_WIDTH  : INTEGER := 16;
12         constant BYTE_WIDTH   : INTEGER :=  8;
13         constant OPCODE_WIDTH : INTEGER :=  5;
14         constant DISPL_WIDTH  : INTEGER := 15;
15
16         subtype byte_t is std_logic_vector(BYTE_WIDTH-1 downto 0);
17         subtype hword_t is std_logic_vector(HWORD_WIDTH-1 downto 0);
18         subtype word_t  is std_logic_vector(WORD_WIDTH-1 downto 0);
19
20         subtype gp_register_t is word_t;
21
22         
23         constant REG_ZERO : gp_register_t := (others => '0');
24
25         constant INSTR_ADDR_WIDTH       : INTEGER := 32;
26         constant PHYS_INSTR_ADDR_WIDTH  : INTEGER := 11;
27         constant REG_ADDR_WIDTH         : INTEGER := 4;
28         constant DATA_ADDR_WIDTH        : INTEGER := 11;
29         constant PHYS_DATA_ADDR_WIDTH   : INTEGER := 32;
30         
31         constant NUM_OP_OPT_WIDTH       : INTEGER := 6;
32         constant COND_WIDTH : INTEGER := 4;
33
34         
35         subtype instruction_word_t is std_logic_vector(WORD_WIDTH-1 downto 0);
36         subtype instruction_addr_t is std_logic_vector(INSTR_ADDR_WIDTH-1 downto 0);
37         
38         subtype gp_addr_t       is std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
39         subtype data_ram_word_t is std_logic_vector(WORD_WIDTH-1 downto 0);
40         subtype data_ram_addr_t is std_logic_vector(DATA_ADDR_WIDTH-1 downto 0);
41
42         subtype opcode_t is std_logic_vector(OPCODE_WIDTH-1 downto 0);
43         subtype condition_t is std_logic_vector(COND_WIDTH-1 downto 0);
44         
45         --Opcode consits of decoded group information type and option bits
46         --currently not complete, might need option increase too.
47         --IMMEDIATE always in right_operand (src2)
48         
49         constant IMM_OPT : integer := 0; -- no sharing
50         
51         constant SUB_OPT : integer := 1;
52         constant ARITH_OPT : integer := 1;
53         
54         constant CARRY_OPT : integer := 2;
55         constant ST_OPT  : integer := 2;
56
57         constant RIGHT_OPT : integer := 3;
58         
59         constant NO_PSW_OPT : integer := 4;--no sharing
60         constant NO_DST_OPT : integer := 5; --no sharing
61         
62         type op_info_t is (ADDSUB_OP,AND_OP,OR_OP, XOR_OP,SHIFT_OP, LDST_OP);
63         subtype op_opt_t is std_logic_vector(NUM_OP_OPT_WIDTH-1 downto 0);
64         
65         
66         type instruction_rec is record
67
68                 predicates : std_logic_vector(3 downto 0);
69
70                 opcode : opcode_t;
71
72                 reg_dest_addr : std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
73                 reg_src1_addr : std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
74                 reg_src2_addr : std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
75
76                 immediate : std_logic_vector(WORD_WIDTH-1 downto 0);
77
78                 displacement : gp_register_t;
79
80                 jmptype : std_logic_vector(1 downto 0);
81
82                 high_low, fill, signext, bp: std_logic;
83
84                 op_detail : op_opt_t;
85                 op_group : op_info_t;
86
87         end record;
88
89
90         type read_through_write_rec is record
91
92                 rtw_reg : gp_register_t;
93                 rtw_reg1 : std_logic;
94                 rtw_reg2 : std_logic;
95                 immediate : gp_register_t;
96                 imm_set : std_logic;
97                 reg1_addr : gp_addr_t;
98                 reg2_addr : gp_addr_t;
99
100         end record;
101
102         type dec_op is record
103                 condition : condition_t;
104                 op_group : op_info_t;
105                 op_detail : op_opt_t;
106                 brpr : std_logic;
107
108                 displacement : gp_register_t;
109                 
110                 src1 : gp_register_t;
111                 src2 : gp_register_t;
112                 
113                 saddr1 : gp_addr_t;
114                 saddr2 : gp_addr_t;
115                 
116                 daddr   : gp_addr_t;
117                 
118         end record;
119
120         type writeback_rec is record
121 --              result : in gp_register_t;      --reg  (alu result or jumpaddr)
122 --              result_addr : in gp_addr_t;     --reg
123                 address : word_t;               --ureg 
124 --              alu_jmp : in std_logic;         --reg
125 --              br_pred : in std_logic;         --reg
126 --              write_en : in std_logic;        --reg  (register file)
127                 dmem_en : std_logic;            --ureg (jump addr in mem or in address)
128                 dmem_write_en : std_logic;      --ureg
129                 hword : std_logic;              --ureg
130                 byte_s : std_logic;
131         end record;
132         
133         
134         function inc(value : in std_logic_vector; constant by : in integer := 1) return std_logic_vector;
135         function log2c(constant value : in integer range 0 to integer'high) return integer;
136 end package common_pkg;
137
138 package body common_pkg is
139
140         function inc(value : in std_logic_vector; constant by : in integer := 1) return std_logic_vector is
141         begin
142                 return std_logic_vector(UNSIGNED(value)+by);
143         end function inc;
144         
145         function log2c(constant value : in integer range 0 to integer'high) return integer is
146                 variable ret_value : integer;
147                 variable cur_value : integer;
148         begin
149                 ret_value := 0;
150                 cur_value := 1;
151                 
152                 while cur_value < value loop
153                         ret_value := ret_value + 1;
154                         cur_value := cur_value * 2;
155                 end loop;
156                 return ret_value;
157         end function log2c;
158         
159 end package body common_pkg;