43603d29a9638626502ee2c9640678e2f3ed711c
[calu.git] / cpu / src / common_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 package common_pkg is
7
8
9         
10         constant WORD_WIDTH   : INTEGER := 32;
11         constant HWORD_WIDTH  : INTEGER := 16;
12         constant BYTE_WIDTH   : INTEGER :=  8;
13         constant OPCODE_WIDTH : INTEGER :=  5;
14         constant DISPL_WIDTH  : INTEGER := 15;
15
16         subtype byte_t is std_logic_vector(BYTE_WIDTH-1 downto 0);
17         subtype hword_t is std_logic_vector(HWORD_WIDTH-1 downto 0);
18         subtype word_t  is std_logic_vector(WORD_WIDTH-1 downto 0);
19
20         subtype gp_register_t is word_t;
21         
22         subtype byte_en_t is std_logic_vector((gp_register_t'length/byte_t'length-1) downto 0); 
23         
24         constant REG_ZERO : gp_register_t := (others => '0');
25
26         constant INSTR_ADDR_WIDTH       : INTEGER := 32;
27         constant PHYS_INSTR_ADDR_WIDTH  : INTEGER := 11;
28         constant REG_ADDR_WIDTH         : INTEGER := 4;
29         constant DATA_ADDR_WIDTH        : INTEGER := 11;
30         constant PHYS_DATA_ADDR_WIDTH   : INTEGER := 32;
31         
32         constant NUM_OP_OPT_WIDTH       : INTEGER := 6;
33         constant COND_WIDTH : INTEGER := 4;
34         constant DATA_END_ADDR          : integer := ((2**DATA_ADDR_WIDTH)-1);
35
36         
37         subtype instruction_word_t is std_logic_vector(WORD_WIDTH-1 downto 0);
38         subtype instruction_addr_t is std_logic_vector(INSTR_ADDR_WIDTH-1 downto 0);
39         subtype instr_addr_t is instruction_addr_t;
40         
41         subtype gp_addr_t       is std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
42         subtype data_ram_word_t is std_logic_vector(WORD_WIDTH-1 downto 0);
43         subtype data_ram_addr_t is std_logic_vector(DATA_ADDR_WIDTH-1 downto 0);
44
45         subtype opcode_t is std_logic_vector(OPCODE_WIDTH-1 downto 0);
46         subtype condition_t is std_logic_vector(COND_WIDTH-1 downto 0);
47         
48         --Opcode consits of decoded group information type and option bits
49         --currently not complete, might need option increase too.
50         --IMMEDIATE always in right_operand (src2)
51         
52         constant IMM_OPT : integer := 0; -- no sharing
53         
54         constant SUB_OPT : integer := 1;
55         constant ARITH_OPT : integer := 1;
56         constant HWORD_OPT : integer := 1;
57         constant PUSH_OPT : integer := 1;
58         constant LOW_HIGH_OPT : integer := 1;
59         
60         constant CARRY_OPT : integer := 2;
61         constant BYTE_OPT : integer := 2;
62         constant LDI_REPLACE_OPT : integer := 2;
63
64         constant RIGHT_OPT : integer := 3;
65         constant JMP_REG_OPT : integer := 3;
66         constant ST_OPT  : integer := 3; -- store opt
67         constant RET_OPT : integer := 3;
68         
69         constant NO_PSW_OPT : integer := 4;--no sharing
70         constant NO_DST_OPT : integer := 5; --no sharing
71         
72         type op_info_t is (ADDSUB_OP,AND_OP,OR_OP, XOR_OP,SHIFT_OP, LDST_OP, JMP_OP, JMP_ST_OP, STACK_OP);
73         subtype op_opt_t is std_logic_vector(NUM_OP_OPT_WIDTH-1 downto 0);
74         
75         
76         type instruction_rec is record
77
78                 predicates : std_logic_vector(3 downto 0);
79
80                 opcode : opcode_t;
81
82                 reg_dest_addr : std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
83                 reg_src1_addr : std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
84                 reg_src2_addr : std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
85
86                 immediate : std_logic_vector(WORD_WIDTH-1 downto 0);
87
88                 displacement : gp_register_t;
89
90                 jmptype : std_logic_vector(1 downto 0);
91
92                 high_low, fill, signext, bp: std_logic;
93
94                 op_detail : op_opt_t;
95                 op_group : op_info_t;
96
97         end record;
98
99
100         
101         type read_through_write_rec is record
102
103                 rtw_reg : gp_register_t;
104                 rtw_reg1 : std_logic;
105                 rtw_reg2 : std_logic;
106                 immediate : gp_register_t;
107                 imm_set : std_logic;
108                 reg1_addr : gp_addr_t;
109                 reg2_addr : gp_addr_t;
110
111         end record;
112
113         type dec_op is record
114                 condition : condition_t;
115                 op_group : op_info_t;
116                 op_detail : op_opt_t;
117                 brpr : std_logic;
118
119                 displacement : gp_register_t;
120                 prog_cnt     : instr_addr_t;
121                 
122                 src1 : gp_register_t;
123                 src2 : gp_register_t;
124                 
125                 saddr1 : gp_addr_t;
126                 saddr2 : gp_addr_t;
127                 
128                 daddr   : gp_addr_t;
129                 
130         end record;
131
132         type writeback_rec is record
133 --              result : in gp_register_t;      --reg  (alu result or jumpaddr)
134 --              result_addr : in gp_addr_t;     --reg
135                 address : word_t;               --ureg 
136 --              alu_jmp : in std_logic;         --reg
137 --              br_pred : in std_logic;         --reg
138 --              write_en : in std_logic;        --reg  (register file)
139                 dmem_en : std_logic;            --ureg (jump addr in mem or in address)
140                 dmem_write_en : std_logic;      --ureg
141                 hword : std_logic;              --ureg
142                 byte_s : std_logic;
143                 byte_en : byte_en_t;
144                 data : gp_register_t;
145         end record;
146         
147         type exec2wb_rec is record
148                         result : gp_register_t; --reg  (alu result or jumpaddr)
149                         result_addr : gp_addr_t;        --reg
150                         address : word_t;               --ureg 
151                         ram_data : word_t;              --ureg
152                         alu_jmp : std_logic;            --reg
153                         br_pred : std_logic;            --reg
154                         write_en : std_logic;   --reg  (register file) bei jump 1 wenn addr in result
155                         dmem_en : std_logic;            --ureg (jump addr in mem or in address)
156                         dmem_write_en : std_logic;      --ureg
157                         hword : std_logic;              --ureg
158                         byte_s : std_logic;             --ureg  
159         end record;
160         
161         function inc(value : in std_logic_vector; constant by : in integer := 1) return std_logic_vector;
162         function log2c(constant value : in integer range 0 to integer'high) return integer;
163 end package common_pkg;
164
165 package body common_pkg is
166
167         function inc(value : in std_logic_vector; constant by : in integer := 1) return std_logic_vector is
168         begin
169                 return std_logic_vector(UNSIGNED(value)+by);
170         end function inc;
171         
172         function log2c(constant value : in integer range 0 to integer'high) return integer is
173                 variable ret_value : integer;
174                 variable cur_value : integer;
175         begin
176                 ret_value := 0;
177                 cur_value := 1;
178                 
179                 while cur_value < value loop
180                         ret_value := ret_value + 1;
181                         cur_value := cur_value * 2;
182                 end loop;
183                 return ret_value;
184         end function log2c;
185         
186 end package body common_pkg;