3790d0f7b2268bb85379289508bc0057ff1160cb
[calu.git] / cpu / src / common_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 package common_pkg is
7
8
9         
10         constant WORD_WIDTH   : INTEGER := 32;
11         constant HWORD_WIDTH  : INTEGER := 16;
12         constant BYTE_WIDTH   : INTEGER :=  8;
13         constant OPCODE_WIDTH : INTEGER :=  5;
14         constant DISPL_WIDTH  : INTEGER := 15;
15
16         subtype byte_t is std_logic_vector(BYTE_WIDTH-1 downto 0);
17         subtype hword_t is std_logic_vector(HWORD_WIDTH-1 downto 0);
18         subtype word_t  is std_logic_vector(WORD_WIDTH-1 downto 0);
19
20         subtype gp_register_t is word_t;
21
22         
23         constant REG_ZERO : gp_register_t := (others => '0');
24
25         constant INSTR_ADDR_WIDTH       : INTEGER := 32;
26         constant PHYS_INSTR_ADDR_WIDTH  : INTEGER := 11;
27         constant REG_ADDR_WIDTH         : INTEGER := 4;
28         constant DATA_ADDR_WIDTH        : INTEGER := 11;
29         constant PHYS_DATA_ADDR_WIDTH   : INTEGER := 32;
30         
31         constant NUM_OP_OPT_WIDTH       : INTEGER := 6;
32         constant COND_WIDTH : INTEGER := 4;
33         constant DATA_END_ADDR          : integer := ((2**DATA_ADDR_WIDTH)-1);
34
35         
36         subtype instruction_word_t is std_logic_vector(WORD_WIDTH-1 downto 0);
37         subtype instruction_addr_t is std_logic_vector(INSTR_ADDR_WIDTH-1 downto 0);
38         subtype instr_addr_t is instruction_addr_t;
39         
40         subtype gp_addr_t       is std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
41         subtype data_ram_word_t is std_logic_vector(WORD_WIDTH-1 downto 0);
42         subtype data_ram_addr_t is std_logic_vector(DATA_ADDR_WIDTH-1 downto 0);
43
44         subtype opcode_t is std_logic_vector(OPCODE_WIDTH-1 downto 0);
45         subtype condition_t is std_logic_vector(COND_WIDTH-1 downto 0);
46         
47         --Opcode consits of decoded group information type and option bits
48         --currently not complete, might need option increase too.
49         --IMMEDIATE always in right_operand (src2)
50         
51         constant IMM_OPT : integer := 0; -- no sharing
52         
53         constant SUB_OPT : integer := 1;
54         constant ARITH_OPT : integer := 1;
55         
56         constant CARRY_OPT : integer := 2;
57
58         constant RIGHT_OPT : integer := 3;
59         constant JMP_REG_OPT : integer := 3;
60         constant ST_OPT  : integer := 3;
61         
62         constant NO_PSW_OPT : integer := 4;--no sharing
63         constant NO_DST_OPT : integer := 5; --no sharing
64         
65         type op_info_t is (ADDSUB_OP,AND_OP,OR_OP, XOR_OP,SHIFT_OP, LDST_OP, JMP_OP, JMP_ST_OP);
66         subtype op_opt_t is std_logic_vector(NUM_OP_OPT_WIDTH-1 downto 0);
67         
68         
69         type instruction_rec is record
70
71                 predicates : std_logic_vector(3 downto 0);
72
73                 opcode : opcode_t;
74
75                 reg_dest_addr : std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
76                 reg_src1_addr : std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
77                 reg_src2_addr : std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
78
79                 immediate : std_logic_vector(WORD_WIDTH-1 downto 0);
80
81                 displacement : gp_register_t;
82
83                 jmptype : std_logic_vector(1 downto 0);
84
85                 high_low, fill, signext, bp: std_logic;
86
87                 op_detail : op_opt_t;
88                 op_group : op_info_t;
89
90         end record;
91
92
93         type read_through_write_rec is record
94
95                 rtw_reg : gp_register_t;
96                 rtw_reg1 : std_logic;
97                 rtw_reg2 : std_logic;
98                 immediate : gp_register_t;
99                 imm_set : std_logic;
100                 reg1_addr : gp_addr_t;
101                 reg2_addr : gp_addr_t;
102
103         end record;
104
105         type dec_op is record
106                 condition : condition_t;
107                 op_group : op_info_t;
108                 op_detail : op_opt_t;
109                 brpr : std_logic;
110
111                 displacement : gp_register_t;
112                 prog_cnt     : instr_addr_t;
113                 
114                 src1 : gp_register_t;
115                 src2 : gp_register_t;
116                 
117                 saddr1 : gp_addr_t;
118                 saddr2 : gp_addr_t;
119                 
120                 daddr   : gp_addr_t;
121                 
122         end record;
123
124         type writeback_rec is record
125 --              result : in gp_register_t;      --reg  (alu result or jumpaddr)
126 --              result_addr : in gp_addr_t;     --reg
127                 address : word_t;               --ureg 
128 --              alu_jmp : in std_logic;         --reg
129 --              br_pred : in std_logic;         --reg
130 --              write_en : in std_logic;        --reg  (register file)
131                 dmem_en : std_logic;            --ureg (jump addr in mem or in address)
132                 dmem_write_en : std_logic;      --ureg
133                 hword : std_logic;              --ureg
134                 byte_s : std_logic;
135         end record;
136         
137         
138         function inc(value : in std_logic_vector; constant by : in integer := 1) return std_logic_vector;
139         function log2c(constant value : in integer range 0 to integer'high) return integer;
140 end package common_pkg;
141
142 package body common_pkg is
143
144         function inc(value : in std_logic_vector; constant by : in integer := 1) return std_logic_vector is
145         begin
146                 return std_logic_vector(UNSIGNED(value)+by);
147         end function inc;
148         
149         function log2c(constant value : in integer range 0 to integer'high) return integer is
150                 variable ret_value : integer;
151                 variable cur_value : integer;
152         begin
153                 ret_value := 0;
154                 cur_value := 1;
155                 
156                 while cur_value < value loop
157                         ret_value := ret_value + 1;
158                         cur_value := cur_value * 2;
159                 end loop;
160                 return ret_value;
161         end function log2c;
162         
163 end package body common_pkg;