static branch incl prediction rc1
[calu.git] / cpu / src / alu_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.common_pkg.all;
7 --use work.core_extension.all;
8
9
10 package alu_pkg is
11         
12         type status_rec is record
13                 zero : std_logic;
14                 oflo : std_logic;
15                 sign : std_logic;
16                 carry : std_logic;
17         end record;
18         
19         subtype status_t is byte_t;
20         --type alu_interal_rec is record
21         --      
22         --end record alu_internal_rec;
23         
24         type alu_result_rec is record
25                 result : gp_register_t;
26                 result_addr : gp_addr_t;
27                 
28                 status : status_rec;
29                 --stackpointer : gp_register_t;
30
31                 alu_jump : std_logic;
32                 brpr : std_logic;
33                 reg_op : std_logic;
34                 mem_op  : std_logic;
35                 
36                 --new_val : std_logic;
37                 mem_en : std_logic;
38                 
39                 hw_op   : std_logic;
40                 byte_op : std_logic;
41                 sign_xt : std_logic;
42                 
43         end record alu_result_rec;
44         
45         constant SHIFT_WIDTH : integer := 4; --log2c(gp_register_t'length);
46         
47         constant COND_ZERO : condition_t := "0001";
48         constant COND_NZERO : condition_t := "0000";
49         constant COND_NOFLO : condition_t := "0010";
50         constant COND_OFLO : condition_t := "0011";
51         constant COND_NCARRY : condition_t := "0100";
52         constant COND_CARRY : condition_t := "0101";
53         constant COND_NSIGN : condition_t := "0110";
54         constant COND_SIGN : condition_t := "0111";
55         
56         constant COND_ABOVE : condition_t := "1000";
57         constant COND_BEQ: condition_t := "1001";
58         constant COND_GEQ : condition_t := "1010";
59         constant COND_LT : condition_t := "1011";
60         constant COND_GT : condition_t := "1100";
61         
62         constant COND_LEQ : condition_t := "1101";
63         constant COND_ALWAYS : condition_t := "1110";
64         constant COND_NEVER : condition_t := "1111";
65         
66         function add_oflo(l_neg, r_neg, res_neg : std_logic) return std_logic;
67         -- function addsub_op(left_operand, right_operand : gp_register_t; sub, addc : std_logic; alu_result : alu_result_rec) return alu_result_rec;
68         
69         -- function and_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec;
70         -- function or_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec;
71         -- function xor_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec;
72         
73         -- function shift_op(left_operand, right_operand : gp_register_t; arith,sleft,carry : std_logic ;alu_result : alu_result_rec) return alu_result_rec;
74         
75         component alu is
76         --some modules won't need all inputs
77         port(
78         --System inputs
79         
80                         clk : in std_logic;
81                         reset : in std_logic;
82         --operation inputs
83                         cond : in condition_t;
84                         op_group : in op_info_t;
85                         left_operand : in gp_register_t;
86                         right_operand : in gp_register_t;
87                         displacement : in gp_register_t;
88                         prog_cnt : in instr_addr_t;
89                         brpr    : in std_logic;
90                         op_detail : in op_opt_t;
91                         alu_state  : in alu_result_rec;
92                         alu_result : out alu_result_rec;
93                         addr : out word_t; --memaddr
94                         data : out gp_register_t --mem data --ureg
95                 );
96         end component alu;
97         
98 end package alu_pkg;
99
100 package body alu_pkg is
101
102         function add_oflo(l_neg, r_neg , res_neg: std_logic) return std_logic is
103         begin
104                 return (l_neg AND r_neg AND not(res_neg)) OR 
105                                 (not(l_neg) AND not(r_neg) AND res_neg);
106         end function add_oflo;
107         
108         -- function addsub_op(left_operand, right_operand : gp_register_t; sub, addc : std_logic; alu_result : alu_result_rec) return alu_result_rec is
109                 -- variable alu_result_out : alu_result_rec;
110                 -- variable complement          : gp_register_t;
111                 -- variable carry_res           : unsigned(gp_register_t'length downto 0);
112                 -- variable tmp_right_operand : unsigned(gp_register_t'length downto 0);
113                 -- variable oflo1, oflo2, l_neg, r_neg : std_logic;
114                 -- variable addcarry            : unsigned(carry_res'range);
115         -- begin
116                 -- alu_result_out := alu_result;
117                 
118                 -- addcarry := (others =>'0');
119                 -- addcarry(0) := unsigned(alu_result.status.carry and addc);
120                 
121                 -- complement := inc(not(right_operand));
122                 -- l_neg := left_operand(gp_register_t'high);
123                 
124                 -- carry_res := unsigned('0' & left_operand)+addcarry;
125                 -- oflo1 := add_oflo(l_neg,'0',std_logic_vector(carry_res)(gp_register_t'high));
126                 
127                 -- if sub = '1' then
128                         -- tmp_right_operand := unsigned('0' & complement);
129                 -- else
130                         -- tmp_right_operand := unsigned('0' & right_operand);
131                 -- end if;
132                 
133                 -- l_neg := std_logic_vector(carry_res)(gp_register_t'high);
134                 -- r_neg := std_logic_vector(tmp_right_operand)(gp_register_t'high);
135                 
136                 -- carry_res := carry_res + tmp_right_operand;
137                 -- oflo2 := add_oflo(l_neg,r_neg,std_logic_vector(carry_res)(gp_register_t'high));
138                 
139
140                 -- alu_result_out.result := std_logic_vector(carry_res)(gp_register_t'range);
141                 -- alu_result_out.status.carry := std_logic_vector(carry_res)(carry_res'high);
142                 
143                 
144                 -- alu_result_out.status.carry := oflo1 or oflo2;
145                 
146                 -- --sign will be set globally.
147                 -- --zero will be set globally.
148                 
149                 -- return alu_result_out;
150         -- end function addsub_op;
151         
152         -- function and_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec is
153                 -- variable alu_result_out : alu_result_rec;
154         -- begin
155                 -- alu_result_out := alu_result;
156                 -- alu_result_out.result := left_operand and right_operand;
157         -- end function and_op;
158         
159         -- function or_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec is
160                 -- variable alu_result_out : alu_result_rec;
161         -- begin
162                 -- alu_result_out := alu_result;
163                 -- alu_result_out.result := left_operand or right_operand;
164         -- end function or_op;
165         
166         -- function xor_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec is
167                 -- variable alu_result_out : alu_result_rec;
168         -- begin
169                 -- alu_result_out := alu_result;
170                 -- alu_result_out.result := left_operand xor right_operand;
171         -- end function xor_op;
172         
173         -- function shift_op(left_operand, right_operand : gp_register_t; arith,rs,carry : std_logic ;alu_result : alu_result_rec) return alu_result_rec is
174                 -- variable alu_result_out : alu_result_rec;
175                 -- variable tmp_shift : bit_vector(gp_register_t'length+1 downto 0);
176                 -- variable tmp_sb : std_logic;
177         -- begin
178                 -- alu_result_out := alu_result;
179                 
180                 -- if rs = '1' then
181                         -- tmp_sb := (carry and alu_result.status.carry and not(arith)) or (arith and left_operand(gp_register_t'high));
182                         -- tmp_shift := bit_vector(tmp_sb & left_operand & alu_result.status.carry);
183                         -- tmp_shift := tmp_shift sra to_integer(unsigned(right_operand)(SHIFT_WIDTH-1 downto 0));
184                         
185                         -- alu_result_out.status.carry := std_logic_vector(tmp_shift)(0);
186                 -- else
187                         -- tmp_sb := (carry and alu_result.status.carry and not(arith));
188                         -- tmp_shift :=  bit_vector(alu_result.status.carry & left_operand & tmp_sb);
189                         -- tmp_shift :=  tmp_shift sla to_integer(unsigned(right_operand)(SHIFT_WIDTH-1 downto 0));
190                         
191                         -- alu_result_out.status.carry := std_logic_vector(tmp_shift)(tmp_shift'high);
192                 -- end if;
193                 
194                 -- alu_result_out.result := std_logic_vector(tmp_shift)(gp_register_t'length downto 1);
195                 
196         -- end function shift_op;
197
198 end package body alu_pkg;