7e1562d5d161da0331bb8daccf0b20090f64b9c3
[calu.git] / cpu / src / alu_pkg.vhd
1 library IEEE;
2
3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
5
6 use work.common_pkg.all;
7 --use work.core_extension.all;
8
9
10 package alu_pkg is
11         
12         type status_rec is record
13                 zero : std_logic;
14                 oflo : std_logic;
15                 sign : std_logic;
16                 carry : std_logic;
17         end record;
18         
19         subtype status_t is byte_t;
20         --type alu_interal_rec is record
21         --      
22         --end record alu_internal_rec;
23         
24         type alu_result_rec is record
25                 result : gp_register_t;
26                 result_addr : gp_addr_t;
27                 
28                 status : status_rec;
29                 --stackpointer : gp_register_t;
30
31                 alu_jump : std_logic;
32                 brpr : std_logic;
33                 reg_op : std_logic;
34                 mem_op  : std_logic;
35                 
36                 --new_val : std_logic;
37                 mem_en : std_logic;
38                 
39                 hw_op   : std_logic;
40                 byte_op : std_logic;
41                 sign_xt : std_logic;
42                 
43         end record alu_result_rec;
44         
45         constant SHIFT_WIDTH : integer := 4; --log2c(gp_register_t'length);
46         
47         constant COND_ZERO : condition_t := "0001";
48         constant COND_NZERO : condition_t := "0000";
49         constant COND_NOFLO : condition_t := "0010";
50         constant COND_OFLO : condition_t := "0011";
51         constant COND_NCARRY : condition_t := "0100";
52         constant COND_CARRY : condition_t := "0101";
53         constant COND_NSIGN : condition_t := "0110";
54         constant COND_SIGN : condition_t := "0111";
55         
56         constant COND_ABOVE : condition_t := "1000";
57         constant COND_BEQ: condition_t := "1001";
58         constant COND_GEQ : condition_t := "1010";
59         constant COND_LT : condition_t := "1011";
60         constant COND_GT : condition_t := "1100";
61         
62         constant COND_LEQ : condition_t := "1101";
63         constant COND_ALWAYS : condition_t := "1110";
64         constant COND_NEVER : condition_t := "1111";
65         
66         function add_oflo(l_neg, r_neg, res_neg : std_logic) return std_logic;
67         -- function addsub_op(left_operand, right_operand : gp_register_t; sub, addc : std_logic; alu_result : alu_result_rec) return alu_result_rec;
68         
69         -- function and_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec;
70         -- function or_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec;
71         -- function xor_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec;
72         
73         -- function shift_op(left_operand, right_operand : gp_register_t; arith,sleft,carry : std_logic ;alu_result : alu_result_rec) return alu_result_rec;
74         
75         component alu is
76         --some modules won't need all inputs
77         port(
78         --System inputs
79         
80                         clk : in std_logic;
81                         reset : in std_logic;
82         --operation inputs
83                         cond : in condition_t;
84                         op_group : in op_info_t;
85                         left_operand : in gp_register_t;
86                         right_operand : in gp_register_t;
87                         displacement : in gp_register_t;
88                         op_detail : in op_opt_t;
89                         alu_state  : in alu_result_rec;
90                         alu_result : out alu_result_rec
91                 );
92         end component alu;
93         
94 end package alu_pkg;
95
96 package body alu_pkg is
97
98         function add_oflo(l_neg, r_neg , res_neg: std_logic) return std_logic is
99         begin
100                 return (l_neg AND r_neg AND not(res_neg)) OR 
101                                 (not(l_neg) AND not(r_neg) AND res_neg);
102         end function add_oflo;
103         
104         -- function addsub_op(left_operand, right_operand : gp_register_t; sub, addc : std_logic; alu_result : alu_result_rec) return alu_result_rec is
105                 -- variable alu_result_out : alu_result_rec;
106                 -- variable complement          : gp_register_t;
107                 -- variable carry_res           : unsigned(gp_register_t'length downto 0);
108                 -- variable tmp_right_operand : unsigned(gp_register_t'length downto 0);
109                 -- variable oflo1, oflo2, l_neg, r_neg : std_logic;
110                 -- variable addcarry            : unsigned(carry_res'range);
111         -- begin
112                 -- alu_result_out := alu_result;
113                 
114                 -- addcarry := (others =>'0');
115                 -- addcarry(0) := unsigned(alu_result.status.carry and addc);
116                 
117                 -- complement := inc(not(right_operand));
118                 -- l_neg := left_operand(gp_register_t'high);
119                 
120                 -- carry_res := unsigned('0' & left_operand)+addcarry;
121                 -- oflo1 := add_oflo(l_neg,'0',std_logic_vector(carry_res)(gp_register_t'high));
122                 
123                 -- if sub = '1' then
124                         -- tmp_right_operand := unsigned('0' & complement);
125                 -- else
126                         -- tmp_right_operand := unsigned('0' & right_operand);
127                 -- end if;
128                 
129                 -- l_neg := std_logic_vector(carry_res)(gp_register_t'high);
130                 -- r_neg := std_logic_vector(tmp_right_operand)(gp_register_t'high);
131                 
132                 -- carry_res := carry_res + tmp_right_operand;
133                 -- oflo2 := add_oflo(l_neg,r_neg,std_logic_vector(carry_res)(gp_register_t'high));
134                 
135
136                 -- alu_result_out.result := std_logic_vector(carry_res)(gp_register_t'range);
137                 -- alu_result_out.status.carry := std_logic_vector(carry_res)(carry_res'high);
138                 
139                 
140                 -- alu_result_out.status.carry := oflo1 or oflo2;
141                 
142                 -- --sign will be set globally.
143                 -- --zero will be set globally.
144                 
145                 -- return alu_result_out;
146         -- end function addsub_op;
147         
148         -- function and_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec is
149                 -- variable alu_result_out : alu_result_rec;
150         -- begin
151                 -- alu_result_out := alu_result;
152                 -- alu_result_out.result := left_operand and right_operand;
153         -- end function and_op;
154         
155         -- function or_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec is
156                 -- variable alu_result_out : alu_result_rec;
157         -- begin
158                 -- alu_result_out := alu_result;
159                 -- alu_result_out.result := left_operand or right_operand;
160         -- end function or_op;
161         
162         -- function xor_op(left_operand, right_operand : gp_register_t; alu_result : alu_result_rec) return alu_result_rec is
163                 -- variable alu_result_out : alu_result_rec;
164         -- begin
165                 -- alu_result_out := alu_result;
166                 -- alu_result_out.result := left_operand xor right_operand;
167         -- end function xor_op;
168         
169         -- function shift_op(left_operand, right_operand : gp_register_t; arith,rs,carry : std_logic ;alu_result : alu_result_rec) return alu_result_rec is
170                 -- variable alu_result_out : alu_result_rec;
171                 -- variable tmp_shift : bit_vector(gp_register_t'length+1 downto 0);
172                 -- variable tmp_sb : std_logic;
173         -- begin
174                 -- alu_result_out := alu_result;
175                 
176                 -- if rs = '1' then
177                         -- tmp_sb := (carry and alu_result.status.carry and not(arith)) or (arith and left_operand(gp_register_t'high));
178                         -- tmp_shift := bit_vector(tmp_sb & left_operand & alu_result.status.carry);
179                         -- tmp_shift := tmp_shift sra to_integer(unsigned(right_operand)(SHIFT_WIDTH-1 downto 0));
180                         
181                         -- alu_result_out.status.carry := std_logic_vector(tmp_shift)(0);
182                 -- else
183                         -- tmp_sb := (carry and alu_result.status.carry and not(arith));
184                         -- tmp_shift :=  bit_vector(alu_result.status.carry & left_operand & tmp_sb);
185                         -- tmp_shift :=  tmp_shift sla to_integer(unsigned(right_operand)(SHIFT_WIDTH-1 downto 0));
186                         
187                         -- alu_result_out.status.carry := std_logic_vector(tmp_shift)(tmp_shift'high);
188                 -- end if;
189                 
190                 -- alu_result_out.result := std_logic_vector(tmp_shift)(gp_register_t'length downto 1);
191                 
192         -- end function shift_op;
193
194 end package body alu_pkg;