stack op
[calu.git] / cpu / src / alu_b.vhd
1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4
5 use work.alu_pkg.all;
6
7
8 architecture behaviour of alu is
9         component exec_op is
10         port(
11                 --System inputs
12                 
13                 clk : in std_logic;
14                 reset : in std_logic;
15                 --operation inputs
16                 left_operand : in gp_register_t;
17                 right_operand : in gp_register_t;
18                 op_detail  : in op_opt_t;
19                 alu_state  : in alu_result_rec;
20                 alu_result : out alu_result_rec
21         );                      
22         end component exec_op;
23         
24         signal add_result, and_result, or_result, xor_result, shift_result : alu_result_rec;
25         signal left_o, right_o : gp_register_t;
26         
27 begin
28
29         add_inst : entity work.exec_op(add_op)
30         port map(clk,reset,left_o, right_o, op_detail, alu_state, add_result);
31         
32         and_inst : entity work.exec_op(and_op)
33         port map(clk,reset,left_o, right_o, op_detail, alu_state, and_result);
34
35         or_inst : entity work.exec_op(or_op)
36         port map(clk,reset,left_o, right_o, op_detail, alu_state, or_result);
37
38         xor_inst : entity work.exec_op(xor_op)
39         port map(clk,reset,left_o, right_o, op_detail, alu_state, xor_result);
40         
41         shift_inst : entity work.exec_op(shift_op)
42         port map(clk,reset,left_o, right_o, op_detail, alu_state, shift_result);
43
44 calc: process(left_operand, right_operand,displacement, cond, op_group, op_detail ,alu_state,and_result,add_result,or_result,xor_result,shift_result, prog_cnt,brpr, pval, pval_nxt)
45         variable result_v : alu_result_rec;
46         variable res_prod : std_logic;
47         variable cond_met : std_logic;
48         variable mem_en : std_logic;
49    variable mem_op, hword_op, byte_op : std_logic;
50         variable alu_jump : std_logic;
51         variable nop     : std_logic;
52         
53         variable pinc_v, pwr_en_v : std_logic;
54         
55         variable prog_cnt_nxt : std_logic_vector(prog_cnt'range);
56 begin
57         result_v := alu_state;
58         
59         res_prod := '1';
60         mem_en := '0';
61     mem_op := '0';
62          hword_op := '0';
63          byte_op := '0';
64         alu_jump := '0';
65   
66         left_o <= left_operand;
67         right_o <= right_operand;
68
69         addr <= add_result.result;
70         data <= right_operand;
71         
72         pinc_v := '0';
73         pwr_en_v := '0';
74         
75         paddr <= (others =>'0');
76         
77         result_v.result := add_result.result;
78         prog_cnt_nxt := std_logic_vector(unsigned(prog_cnt)+1);
79         case cond is
80         when COND_NZERO =>
81                 cond_met := not(alu_state.status.zero);
82         when COND_ZERO =>
83                 cond_met := alu_state.status.zero;
84         when COND_NOFLO =>
85                 cond_met := not(alu_state.status.oflo);
86         when COND_OFLO =>
87                 cond_met := alu_state.status.oflo;
88         when COND_NCARRY =>
89                 cond_met := not(alu_state.status.carry);
90         when COND_CARRY =>
91                 cond_met := alu_state.status.carry;
92         when COND_NSIGN =>
93                 cond_met := not(alu_state.status.sign);
94         when COND_SIGN =>
95                 cond_met := alu_state.status.sign;
96         when COND_ABOVE =>
97                 cond_met := not(alu_state.status.carry) and not(alu_state.status.zero);
98         when COND_BEQ =>
99                 cond_met := alu_state.status.carry or alu_state.status.zero;
100         when COND_GEQ =>
101                 cond_met := not(alu_state.status.sign xor alu_state.status.oflo);
102         when COND_LT =>
103                 cond_met := alu_state.status.sign xor alu_state.status.oflo;
104         when COND_GT =>
105                 cond_met := not(alu_state.status.zero) and not(alu_state.status.sign xor alu_state.status.oflo);
106         when COND_LEQ =>
107                 cond_met := alu_state.status.zero or (alu_state.status.sign xor alu_state.status.oflo);
108         when COND_ALWAYS =>
109                 cond_met := '1';
110         when COND_NEVER =>
111                 cond_met := '0';
112         when others => null;
113         end case;
114         
115         nop := (alu_state.alu_jump xnor alu_state.brpr);
116         cond_met := cond_met and nop;
117
118         case op_group is
119         when ADDSUB_OP =>
120                 result_v := add_result;
121         when AND_OP =>
122                 result_v := and_result;
123         when OR_OP =>
124                 result_v := or_result;
125         when XOR_OP =>
126                 result_v := xor_result;
127         when SHIFT_OP =>
128                 result_v := shift_result;
129    when LDST_OP =>
130                 res_prod := '0';
131                 mem_op := '1';
132                 --right_o <= displacement;
133                 addr <= std_logic_vector(unsigned(left_operand)+unsigned(displacement));
134                 if op_detail(IMM_OPT) = '1' then
135                         result_v.result := right_operand;
136                         res_prod := '1';
137                         mem_op := '0';
138                 end if;
139                 if op_detail(ST_OPT) = '1' then
140                         mem_en := '1';
141                 end if;
142                                          
143                                          hword_op := op_detail(HWORD_OPT);
144                                          byte_op := op_detail(BYTE_OPT);
145                                          
146         when JMP_OP =>
147                 if op_detail(JMP_REG_OPT) = '0' then
148                         left_o <= prog_cnt;
149                 end if;
150                 alu_jump := '1';
151         when JMP_ST_OP => 
152                 left_o <= prog_cnt;
153                 mem_en := '1';
154                 alu_jump := '1';
155                 mem_op := '1';
156                 pinc_v := '1';
157                 pwr_en_v := '1';
158                 paddr <= (others =>'0');
159                 
160                 addr <= pval;
161                 data <= prog_cnt_nxt;
162                 if op_detail(RET_OPT) = '1' then
163                         addr <= pval_nxt;
164                         mem_en := '0';
165                         pinc_v := '0';
166                         res_prod := '0';
167                 end if;
168         when STACK_OP =>
169                 mem_op := '1';
170                 pwr_en_v := '1';
171                 if op_detail(PUSH_OPT) = '1' then
172                         mem_en := '1';
173                         pinc_v := '1';
174                         res_prod := '0';
175                         addr <= pval_nxt;
176                         data <= left_o;
177                 else
178                         addr <= std_logic_vector(unsigned(pval_nxt)-4);
179                 end if;
180                 
181         end case;
182         
183
184         result_v.status.zero := '0';
185         if result_v.result = REG_ZERO then
186                 result_v.status.zero := '1';
187         end if;
188         
189         result_v.status.sign := result_v.result(gp_register_t'high);
190
191         if (op_detail(NO_PSW_OPT) = '1') or (cond_met = '0') then
192                 result_v.status := alu_state.status;
193         end if;
194         
195         result_v.reg_op := not(op_detail(NO_DST_OPT)) and res_prod and cond_met;
196         result_v.mem_en := mem_en and cond_met;
197     result_v.mem_op := mem_op and cond_met;
198         result_v.alu_jump := alu_jump and cond_met;
199         result_v.brpr := brpr and nop;
200         
201         result_v.hw_op := hword_op and cond_met;
202         result_v.byte_op := byte_op and cond_met;
203         
204         pwr_en_v := pwr_en_v and cond_met;
205         
206         if (result_v.alu_jump = '0') and (brpr = '1') then
207                 result_v.result := (others => '0');
208                 result_v.result(prog_cnt'range) := prog_cnt_nxt;
209                 --result_v.reg_op := '1';
210         end if;
211
212         -- if result_v.mem_op = '0' then --- do this if selecting enable for extension modules is too slow.
213                 -- addr <= (others => '0');
214         -- end if;
215         alu_result <= result_v;
216         pinc <= pinc_v;
217         pwr_en <= pwr_en_v;
218         
219 end process calc; 
220
221 end architecture behaviour;
222