c14fcf4fa33b7ef677dd1b4cdd20f16d8fc6b556
[calu.git] / cpu / src / alu_b.vhd
1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4
5 use work.alu_pkg.all;
6
7
8 architecture behaviour of alu is
9         component exec_op is
10         port(
11                 --System inputs
12                 
13                 clk : in std_logic;
14                 reset : in std_logic;
15                 --operation inputs
16                 left_operand : in gp_register_t;
17                 right_operand : in gp_register_t;
18                 op_detail  : in op_opt_t;
19                 alu_state  : in alu_result_rec;
20                 alu_result : out alu_result_rec
21         );                      
22         end component exec_op;
23         
24         signal add_result, and_result, or_result, xor_result, shift_result : alu_result_rec;
25         signal left_o, right_o : gp_register_t;
26         
27 begin
28
29         add_inst : entity work.exec_op(add_op)
30         port map(clk,reset,left_o, right_o, op_detail, alu_state, add_result);
31         
32         and_inst : entity work.exec_op(and_op)
33         port map(clk,reset,left_o, right_o, op_detail, alu_state, and_result);
34
35         or_inst : entity work.exec_op(or_op)
36         port map(clk,reset,left_o, right_o, op_detail, alu_state, or_result);
37
38         xor_inst : entity work.exec_op(xor_op)
39         port map(clk,reset,left_o, right_o, op_detail, alu_state, xor_result);
40         
41         shift_inst : entity work.exec_op(shift_op)
42         port map(clk,reset,left_o, right_o, op_detail, alu_state, shift_result);
43
44 calc: process(left_operand, right_operand,displacement, cond, op_group, op_detail ,alu_state,and_result,add_result,or_result,xor_result,shift_result, prog_cnt,brpr, pval, pval_nxt)
45         variable result_v : alu_result_rec;
46         variable res_prod : std_logic;
47         variable cond_met : std_logic;
48         variable mem_en : std_logic;
49    variable mem_op, hword_op, byte_op : std_logic;
50         variable alu_jump : std_logic;
51         variable nop     : std_logic;
52         
53         variable pinc_v, pwr_en_v : std_logic;
54         
55         variable prog_cnt_nxt : std_logic_vector(prog_cnt'range);
56 begin
57         result_v := alu_state;
58         
59         res_prod := '1';
60         mem_en := '0';
61     mem_op := '0';
62          hword_op := '0';
63          byte_op := '0';
64         alu_jump := '0';
65   
66         left_o <= left_operand;
67         right_o <= right_operand;
68
69         addr <= add_result.result;
70         data <= right_operand;
71         
72         pinc_v := '0';
73         pwr_en_v := '0';
74         
75         paddr <= (others =>'0');
76         
77         result_v.result := add_result.result;
78         if (op_detail(DIRECT_JUMP_OPT) = '0') then
79                 prog_cnt_nxt := std_logic_vector(unsigned(prog_cnt)+1);
80         else
81                 prog_cnt_nxt := prog_cnt;
82         end if;
83         case cond is
84         when COND_NZERO =>
85                 cond_met := not(alu_state.status.zero);
86         when COND_ZERO =>
87                 cond_met := alu_state.status.zero;
88         when COND_NOFLO =>
89                 cond_met := not(alu_state.status.oflo);
90         when COND_OFLO =>
91                 cond_met := alu_state.status.oflo;
92         when COND_NCARRY =>
93                 cond_met := not(alu_state.status.carry);
94         when COND_CARRY =>
95                 cond_met := alu_state.status.carry;
96         when COND_NSIGN =>
97                 cond_met := not(alu_state.status.sign);
98         when COND_SIGN =>
99                 cond_met := alu_state.status.sign;
100         when COND_ABOVE =>
101                 cond_met := not(alu_state.status.carry) and not(alu_state.status.zero);
102         when COND_BEQ =>
103                 cond_met := alu_state.status.carry or alu_state.status.zero;
104         when COND_GEQ =>
105                 cond_met := not(alu_state.status.sign xor alu_state.status.oflo);
106         when COND_LT =>
107                 cond_met := alu_state.status.sign xor alu_state.status.oflo;
108         when COND_GT =>
109                 cond_met := not(alu_state.status.zero) and not(alu_state.status.sign xor alu_state.status.oflo);
110         when COND_LEQ =>
111                 cond_met := alu_state.status.zero or (alu_state.status.sign xor alu_state.status.oflo);
112         when COND_ALWAYS =>
113                 cond_met := '1';
114         when COND_NEVER =>
115                 cond_met := '0';
116         when others => null;
117         end case;
118         
119         nop := (alu_state.alu_jump xnor alu_state.brpr);
120         cond_met := cond_met and nop;
121
122         case op_group is
123         when ADDSUB_OP =>
124                 result_v := add_result;
125         when AND_OP =>
126                 result_v := and_result;
127         when OR_OP =>
128                 result_v := or_result;
129         when XOR_OP =>
130                 result_v := xor_result;
131         when SHIFT_OP =>
132                 result_v := shift_result;
133                 addr(DATA_ADDR_WIDTH + 2) <= '0';
134    when LDST_OP =>
135                 res_prod := '0';
136                 mem_op := '1';
137                 --right_o <= displacement;
138                 addr <= std_logic_vector(unsigned(left_operand)+unsigned(displacement));
139                 if op_detail(IMM_OPT) = '1' then
140                                          
141                                                                 result_v.result := right_operand;
142                                          
143                                                                 if (op_detail(LDI_REPLACE_OPT) = '0') then
144                                                                         result_v.result := left_operand;
145                                                                         if (op_detail(LOW_HIGH_OPT) = '1') then
146                                                                                 result_v.result(31 downto 16) := right_operand(31 downto 16);
147                                                                         else
148                                                                                 result_v.result(15 downto 0) := right_operand(15 downto 0);
149                                                                         end if;
150                                                                 end if;
151
152                         res_prod := '1';
153                         mem_op := '0';
154                                                                 addr(DATA_ADDR_WIDTH + 2) <= '0';
155                 end if;
156                 if op_detail(ST_OPT) = '1' then
157                         mem_en := '1';
158                 end if;
159                                          
160                                          hword_op := op_detail(HWORD_OPT);
161                                          byte_op := op_detail(BYTE_OPT);
162                                          
163         when JMP_OP =>
164                 if op_detail(JMP_REG_OPT) = '0' then
165                         left_o <= prog_cnt;
166                 end if;
167                 alu_jump := '1';
168         when JMP_ST_OP => 
169                 left_o <= prog_cnt;
170                 mem_en := '1';
171                 alu_jump := '1';
172                 mem_op := '1';
173                 pinc_v := '1';
174                 pwr_en_v := '1';
175                 paddr <= (others =>'0');
176                 
177                 addr <= pval;
178                 data <= prog_cnt_nxt;
179                 if op_detail(RET_OPT) = '1' then
180                         addr <= pval_nxt;
181                         mem_en := '0';
182                         pinc_v := '0';
183                         res_prod := '0';
184                 end if;
185         when STACK_OP =>
186                 mem_op := '1';
187                 pwr_en_v := '1';
188                 if op_detail(PUSH_OPT) = '1' then
189                         mem_en := '1';
190                         pinc_v := '1';
191                         res_prod := '0';
192                         addr <= pval_nxt;
193                         data <= left_operand;
194                 else
195                         addr <= std_logic_vector(unsigned(pval_nxt)-4);
196                 end if;
197                 
198         end case;
199         
200
201         result_v.status.zero := '0';
202         if result_v.result = REG_ZERO then
203                 result_v.status.zero := '1';
204         end if;
205         
206         result_v.status.sign := result_v.result(gp_register_t'high);
207
208         if (op_detail(NO_PSW_OPT) = '1') or (cond_met = '0') then
209                 result_v.status := alu_state.status;
210         end if;
211         
212         result_v.reg_op := not(op_detail(NO_DST_OPT)) and res_prod and cond_met;
213         result_v.mem_en := mem_en and cond_met;
214     result_v.mem_op := mem_op and cond_met;
215         result_v.alu_jump := alu_jump and cond_met;
216         result_v.brpr := brpr and nop;
217         
218         result_v.hw_op := hword_op and cond_met;
219         result_v.byte_op := byte_op and cond_met;
220         
221         pwr_en_v := pwr_en_v and cond_met;
222         
223         if (result_v.alu_jump = '0') and (brpr = '1') then
224                 result_v.result := (others => '0');
225                 result_v.result(prog_cnt'range) := prog_cnt_nxt;
226                 --result_v.reg_op := '1';
227         end if;
228
229         -- if result_v.mem_op = '0' then --- do this if selecting enable for extension modules is too slow.
230                 -- addr <= (others => '0');
231         -- end if;
232         alu_result <= result_v;
233         pinc <= pinc_v;
234         pwr_en <= pwr_en_v;
235         
236 end process calc; 
237
238 end architecture behaviour;
239