2 use IEEE.std_logic_1164.all;
\r
3 use IEEE.numeric_std.all;
\r
5 use work.alu_pkg.all;
\r
8 architecture behaviour of alu is
\r
14 reset : in std_logic;
\r
16 left_operand : in gp_register_t;
\r
17 right_operand : in gp_register_t;
\r
18 op_detail : in op_opt_t;
\r
19 alu_state : in alu_result_rec;
\r
20 alu_result : out alu_result_rec
\r
22 end component exec_op;
\r
24 signal add_result, and_result, or_result, xor_result, shift_result : alu_result_rec;
25 signal left, right : gp_register_t;
\r
29 add_inst : entity work.exec_op(add_op)
\r
30 port map(clk,reset,left, right, op_detail, alu_state, add_result);
\r
32 and_inst : entity work.exec_op(and_op)
\r
33 port map(clk,reset,left, right, op_detail, alu_state, and_result);
\r
35 or_inst : entity work.exec_op(or_op)
\r
36 port map(clk,reset,left, right, op_detail, alu_state, or_result);
\r
38 xor_inst : entity work.exec_op(xor_op)
\r
39 port map(clk,reset,left, right, op_detail, alu_state, xor_result);
\r
41 shift_inst : entity work.exec_op(shift_op)
\r
42 port map(clk,reset,left, right, op_detail, alu_state, shift_result);
\r
44 calc: process(left_operand, right_operand,displacement, cond, op_group, op_detail ,alu_state,and_result,add_result,or_result,xor_result,shift_result)
\r
45 variable result_v : alu_result_rec;
\r
46 variable res_prod : std_logic;
\r
47 variable cond_met : std_logic;
\r
48 variable mem_en : std_logic;
49 variable mem_op : std_logic;
\r
51 result_v := alu_state;
\r
53 result_v.result := add_result.result;
\r
57 addr <= add_result.result;
59 right <= right_operand;
\r
63 cond_met := not(alu_state.status.zero);
\r
65 cond_met := alu_state.status.zero;
\r
67 cond_met := not(alu_state.status.oflo);
\r
69 cond_met := alu_state.status.oflo;
\r
71 cond_met := not(alu_state.status.carry);
\r
73 cond_met := alu_state.status.carry;
\r
75 cond_met := not(alu_state.status.sign);
\r
77 cond_met := alu_state.status.sign;
\r
79 cond_met := not(alu_state.status.carry) and not(alu_state.status.zero);
\r
81 cond_met := alu_state.status.carry or alu_state.status.zero;
\r
83 cond_met := not(alu_state.status.sign xor alu_state.status.oflo);
\r
85 cond_met := alu_state.status.sign xor alu_state.status.oflo;
\r
87 cond_met := not(alu_state.status.zero) and not(alu_state.status.sign xor alu_state.status.oflo);
\r
89 cond_met := alu_state.status.zero or (alu_state.status.sign xor alu_state.status.oflo);
\r
94 when others => null;
\r
99 result_v := add_result;
\r
101 result_v := and_result;
\r
103 result_v := or_result;
\r
105 result_v := xor_result;
\r
107 result_v := shift_result;
111 if op_detail(IMM_OPT) = '1' then
112 result_v.result := right_operand;
116 if op_detail(ST_OPT) = '1' then
117 right <= displacement;
123 result_v.status.zero := '0';
\r
124 if result_v.result = REG_ZERO then
\r
125 result_v.status.zero := '1';
\r
128 result_v.status.sign := result_v.result(gp_register_t'high);
\r
130 if (op_detail(NO_PSW_OPT) = '1') or (cond_met = '0') then
\r
131 result_v.status := alu_state.status;
\r
134 result_v.reg_op := not(op_detail(NO_DST_OPT)) and res_prod and cond_met;
\r
135 result_v.mem_en := mem_en and cond_met;
136 result_v.mem_op := mem_op and cond_met;
\r
138 alu_result <= result_v;
\r
142 end architecture behaviour;
\r