Added arithmetic and logical vhdl functions
[calu.git] / cpu / src / alu_b.vhd
1 library IEEE;\r
2 use IEEE.std_logic_1164.all;\r
3 use IEEE.numeric_std.all;\r
4 \r
5 use work.core_pkg.all;\r
6 use work.alu_pkg.all;\r
7 \r
8 architecture behaviour of alu is\r
9 \r
10 begin\r
11 \r
12 syn: process(sys_clk, reset)\r
13 \r
14 begin\r
15 \r
16         if (reset = RESET_VALUE) then\r
17                                 \r
18         elsif rising_edge(sys_clk) then\r
19                 \r
20         end if;\r
21         \r
22 end process syn; \r
23 \r
24 \r
25 nxt_calc: process(sys_clk, reset)\r
26 \r
27 begin\r
28 \r
29         \r
30         case new_op is\r
31         when ADD_SUB =>\r
32                 \r
33         when others => null;\r
34         end case;\r
35         \r
36 end process nxt_calc; \r
37 \r
38 end architecture behaviour;\r